T. Meissner (tmeissner)

tmeissner

Geek Repo

Location:Dresden, Germany

Home Page:https://git.goodcleanfun.de

Twitter:@__tmeissner__

Github PK Tool:Github PK Tool


Organizations
VHDL

T. Meissner's repositories

psl_with_ghdl

Examples of using PSL for functional and formal verification of VHDL with GHDL (and SymbiYosys)

Language:VHDLLicense:LGPL-3.0Stargazers:60Issues:11Issues:4

formal_hw_verification

Trying to verify Verilog/VHDL designs with formal methods and tools

Language:VHDLLicense:LGPL-3.0Stargazers:41Issues:6Issues:1

cryptocores

cryptography ip-cores in vhdl / verilog

Language:VHDLLicense:GPL-2.0Stargazers:40Issues:4Issues:0

libvhdl

Library of reusable VHDL components

Language:VHDLLicense:NOASSERTIONStargazers:25Issues:6Issues:0

vhdl_verification

Examples and design pattern for VHDL verification

Language:VHDLLicense:LGPL-3.0Stargazers:16Issues:3Issues:1

gatemate_experiments

Experiments with Cologne Chip's GateMate FPGA architecture

Language:VHDLLicense:NOASSERTIONStargazers:14Issues:3Issues:0

cocotb_with_ghdl

Examples of using cocotb for functional verification of VHDL designs with GHDL.

Dockerfiles

Some Dockerfiles for various tools

Language:DockerfileLicense:LGPL-3.0Stargazers:7Issues:2Issues:0

lfd111x_building_a_risc-v-cpu_core

Code of the course: LFD111x - Building a RISC-V CPU Core

Language:VHDLStargazers:3Issues:3Issues:0
Language:VHDLLicense:LGPL-3.0Stargazers:3Issues:2Issues:0

ghdl

VHDL 2008/93/87 simulator

Language:VHDLLicense:GPL-2.0Stargazers:1Issues:1Issues:0

neorv32

🖥️ A tiny, customizable and highly extensible MCU-class 32-bit RISC-V soft-core CPU and microcontroller-like SoC written in platform-independent VHDL.

Language:VHDLLicense:BSD-3-ClauseStargazers:1Issues:0Issues:0

OSVVM

Open Source VHDL Verification Methodology (OSVVM) Repository

Language:VHDLLicense:NOASSERTIONStargazers:1Issues:2Issues:0

awesome

A curated list of awesome resources for HDL design and verification

Language:PythonLicense:CC0-1.0Stargazers:0Issues:1Issues:0

cocotb

Coroutine Co-simulation Test Bench

Language:PythonLicense:BSD-3-ClauseStargazers:0Issues:2Issues:0

Compliance-Tests

Tests to evaluate the support of VHDL 2008 and VHDL 2019 features

Language:VHDLLicense:Apache-2.0Stargazers:0Issues:0Issues:0

constraints

Constraint files for Hardware Description Language (HDL) designs targeting FPGA boards

Language:TclLicense:Apache-2.0Stargazers:0Issues:0Issues:0

containers

Building and deploying container images for open source electronic design automation (EDA)

Language:ShellLicense:Apache-2.0Stargazers:0Issues:1Issues:0

learning-by-doing

Learning by doing: Reading books and trying to understand the (code) examples

Language:HTMLStargazers:0Issues:2Issues:0

neorv32-formal

Formal verification (experiments) targeting the NEORV32 RISC-V processor.

License:BSD-3-ClauseStargazers:0Issues:0Issues:0

neorv32-setups

📁 NEORV32 projects and exemplary setups for various FPGAs, boards and (open-source) toolchains.

Language:VHDLLicense:BSD-3-ClauseStargazers:0Issues:0Issues:0

open-source-fpga-resource

A list of resources related to the open-source FPGA projects

Stargazers:0Issues:1Issues:0

openscad

openSCAD models for 3d-printing

Language:OpenSCADLicense:GPL-2.0Stargazers:0Issues:2Issues:0

pebble_tutorials

Tutorials from Pebble developer website

Language:CStargazers:0Issues:2Issues:0
Language:VHDLStargazers:0Issues:1Issues:0

symbiflow-docs

Documentation for SymbiFlow

Language:PythonLicense:ISCStargazers:0Issues:1Issues:0
Stargazers:0Issues:2Issues:0

VHDLproc

VHDLproc is a VHDL preprocessor

Language:PythonLicense:GPL-3.0Stargazers:0Issues:1Issues:0

vunit

VUnit is a unit testing framework for VHDL/SystemVerilog

License:NOASSERTIONStargazers:0Issues:0Issues:0

yosys

Yosys Open SYnthesis Suite

Language:C++License:ISCStargazers:0Issues:1Issues:0