Inbasekaran Perumal (the-pinbo)

the-pinbo

Geek Repo

Company:NITK

Location:Bangalore

Twitter:@thepinbohacker

Github PK Tool:Github PK Tool

Inbasekaran Perumal's repositories

crop-prediction

Developed a machine learning-based crop prediction model to assist farmers in making informed decisions about crop selection, planting, and harvesting.Integrated weather and geolocation APIs along with a web page for simplified user experience.

Language:Jupyter NotebookStargazers:18Issues:3Issues:0

PDM-to-Ethernet-Packet-Generator

This project explores real-time audio signal processing on a Digilent Nexys4-DDR FPGA board, capturing audio from a MEMS microphone, processing it with FFT, and transmitting results via Ethernet for potential applications in audio analysis and processing.

Language:Jupyter NotebookLicense:MITStargazers:2Issues:2Issues:0

Plagiarism-Check

Create a TURNITIN equivalent free software to do plagiarism checking of research articles / thesis etc.

ROBDD

A binary decision diagram is a directed acyclic graph used to represent a Boolean function. The ROBDD is a canonical form, which means that given an identical ordering of input variables, equivalent Boolean functions will always reduce to the same ROBDD.

Language:Jupyter NotebookStargazers:2Issues:1Issues:0

mim

MIM is a command line GUI torrent application that can download files from the internet through .torrent files and magnet links. It involves deep knowledge of networking and an understanding of peer-2-peer communication using the BitTorrent protocol.

Language:RustStargazers:1Issues:1Issues:0

Anchor-Docker_Clone

A repository containing our learnings and implementations for the project "Anchor: The Docker Clone" under IEEE-NITK

Language:CStargazers:0Issues:0Issues:0
Language:CSSLicense:MITStargazers:0Issues:0Issues:0

BooleanCalculator

boolean calculator engine using urp

Language:PythonStargazers:0Issues:1Issues:0

Envision-TicTacToe-Bot

Envision 22 AI tic-tac-toe bot

Language:PythonStargazers:0Issues:0Issues:0

image-denoising

The standard approach to image reconstruction using deep learning is to use clean image priors for training purposes. In this project, we attempt to achieve denoising without using a clean image prior and yet, achieving a performance comparable to, or sometimes, even better than that obtained using the conventional approach.

Language:Jupyter NotebookStargazers:0Issues:2Issues:0
Language:Jupyter NotebookStargazers:0Issues:0Issues:0

ripened-fruits-classifier

This system makes usage of an android smartphone that runs a TensorFlow lite CNN model to detect artificially ripened fruits. The proposed system has an efficiency of 91% in the identification of the fruits ripened artificially.

Language:Jupyter NotebookStargazers:0Issues:1Issues:0
Language:Jupyter NotebookStargazers:0Issues:1Issues:0

DimensionalityRedux-PCA-vs-Autoencoders

Comparative study of PCA and Autoencoders for effective dimensionality reduction, assessed through PSNR and SSIM metrics.

Language:Jupyter NotebookStargazers:0Issues:1Issues:0
Language:Jupyter NotebookStargazers:0Issues:1Issues:0
Language:VerilogStargazers:0Issues:1Issues:0
Language:AGS ScriptStargazers:0Issues:1Issues:0

EC211-DSP-Lab

EC211 Digital Signal Processing Lab

Language:Jupyter NotebookStargazers:0Issues:1Issues:0

EC302-VLSI-Design-Lab

EC302-VLSI-Design-Lab

Language:RoffStargazers:0Issues:1Issues:0

EC704-VLSI-Design-Automation

EC704 - VLSI Design Automation

Language:Jupyter NotebookStargazers:0Issues:1Issues:0
Language:AssemblyStargazers:0Issues:0Issues:0

EC802-Low-Power-VLSI-Design

EC802 - Low Power VLSI Design

Language:Jupyter NotebookStargazers:0Issues:1Issues:0
Language:VerilogStargazers:0Issues:0Issues:0

first-repo

My first github repository

Language:C++Stargazers:0Issues:1Issues:0

MIPS-5-Stage-Pipeline-Project

This project involves the implementation and simulation of a MIPS 5-stage pipelined processor using Verilog. The implementation is based on the MIPS architecture as outlined in the "Computer Organization and Design: The Hardware/Software Interface" and "Digital Design and Computer Architecture"

Language:VerilogLicense:MITStargazers:0Issues:0Issues:0

MIPS-single-cycle

MIPS single cycle Verilog implementation based on Computer Organization and Design The Hardware software Interface by David A. Patterson and John L. Hennessy.

Language:VerilogLicense:MITStargazers:0Issues:0Issues:0
Language:Jupyter NotebookStargazers:0Issues:1Issues:0

RISC-SPM

This project involves the development and enhancement of a RISC Stored-Program Machine (RISC SPM), based on the architecture detailed in "Advanced Digital Design with the Verilog HDL" by Michael D. Ciletti.

Language:VerilogLicense:MITStargazers:0Issues:1Issues:0

the-pinbo

Hello world, this is my profile

Stargazers:0Issues:1Issues:0
Language:VerilogStargazers:0Issues:0Issues:0