Victor Suarez Rovere (suarezvictor)

suarezvictor

Geek Repo

Location:Argentina

Twitter:@suarezvictor

Github PK Tool:Github PK Tool

Victor Suarez Rovere's starred repositories

mini-rv32ima

A tiny C header-only risc-v emulator.

Silice

Silice is an easy-to-learn, powerful hardware description language, that simplifies designing hardware algorithms with parallelism and pipelines.

Language:C++License:NOASSERTIONStargazers:1270Issues:42Issues:200

Pico-PIO-USB

USB host/device implementation using PIO of raspberry pi pico (RP2040).

riscv-formal

RISC-V Formal Verification Framework

Language:VerilogLicense:ISCStargazers:569Issues:39Issues:41

Adafruit_TinyUSB_Arduino

Arduino library for TinyUSB

Pico3D

Open World 3D Game Engine for the PicoSystem & RP2040 Microcontroller

Language:C++License:MITStargazers:388Issues:8Issues:1

ch32v003

CH32V003 is an ultra-cheap RISC-V MCU with 2KB SRAM, 16KB flash, and up to 18 GPIOs that sells for under $0.10

Language:CLicense:Apache-2.0Stargazers:354Issues:29Issues:30

EmberGL

EmberGL - 2D/3D graphics library featuring a tiled software rasterizer.

Language:C++License:MITStargazers:329Issues:10Issues:8

FNIRSI_1013D_Firmware

New firmware for the FNIRSI-1013D osciloscope.

Language:CLicense:GPL-3.0Stargazers:114Issues:18Issues:9

embeddedDOOM

"emdoom" - a port of DOOM targeted for memory-strapped systems.

Language:CLicense:NOASSERTIONStargazers:101Issues:8Issues:6

pingo

A C, low level, extensible, 2D/3D software renderer

Language:CLicense:CC0-1.0Stargazers:80Issues:5Issues:3

fpsqrt

Integer and fixed point square root functions using only add, subtract and shifts

Language:CLicense:MITStargazers:78Issues:6Issues:2

BrianHG-DDR3-Controller

DDR3 Controller v1.65, 16 read/write ports, configurable widths, priority, auto-burst size & cache on each port. VGA/HDMI multiwindow video controller with alpha-blended layers. Docs & TBs included.

Language:SystemVerilogStargazers:67Issues:6Issues:1

MPU9255-Arduino-Library

Simple arduino library for MPU9255 sensor

Language:C++License:MITStargazers:44Issues:6Issues:6

bresenham-zingl

Set of efficient Bresenham rasterisers ported from Alois Zingl' code

AnotherWorld_FPGA

FPGA implementation of the AnotherWorld CPU (equivalent to the original VM)

Language:VerilogLicense:NOASSERTIONStargazers:17Issues:4Issues:2

prjtrellis-dvi

DVI video out example for prjtrellis

Language:VHDLStargazers:16Issues:4Issues:0
Language:AssemblyStargazers:15Issues:0Issues:0

DiVA-firmware

Firmware and Gateware for the DiVA platform.

Language:PythonLicense:BSD-2-ClauseStargazers:12Issues:5Issues:18

General-Slow-DDR3-Interface

A general slow DDR3 interface. Very little resource consumption. Suits for all FPGAs with 1.5V IO voltage.

Language:ScalaLicense:BSD-3-ClauseStargazers:12Issues:2Issues:0

DongshanPI-D1s_BareMetal

国产全志平头哥C906 RISC-V DongshanPI-D1s RV64GVC 裸机示例仓库!

Language:AssemblyStargazers:10Issues:0Issues:0

nextpnr-kintex-snap

snap package for nextpnr PnR FPGA toolchain for Xilinx 7 series FPGAs, with Kintex support

License:BSD-3-ClauseStargazers:8Issues:0Issues:0

soft_riscv

Soft-core RISCV processor for RISCV 2018 competition

Language:CStargazers:4Issues:2Issues:0

mame

MAME - Multiple Arcade Machine Emulator

Language:C++License:NOASSERTIONStargazers:4Issues:3Issues:17

AnotherWorld_JAMMA

https://garoa.net.br/wiki/AnotherWorld_JAMMA

Language:CLicense:GPL-2.0Stargazers:3Issues:0Issues:0

OrangeCrab-test-sw

Software, firmware, and gateware for OrangeCrab ATE.

Language:PythonLicense:MITStargazers:3Issues:0Issues:0

Pico3D

Pico3D Engine source code for the PicoSystem

Language:CLicense:MITStargazers:3Issues:1Issues:0

MSP430Ware

Modified MSP430Ware examples to build on OSX

Language:CLicense:BSD-3-ClauseStargazers:1Issues:0Issues:0