steveicarus / iverilog

Icarus Verilog

Home Page:https://steveicarus.github.io/iverilog/

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

array_packed_sysfunct test is not implemented

steveicarus opened this issue · comments

This test compiles at the iverilog level, but generates many runtime errors. It's not obvious if the problem is the tests, or the implementation of the $size, $left, $right, and similar system tasks. Sort this out and either fix the tests, or fix the functions.

The test is in ivtest/ivltests/array_packed_sysfunct.v