Sylvain "tnt" Munaut (smunaut)

smunaut

Geek Repo

Home Page:https://chaos.social/@tnt

Twitter:@tnt

Github PK Tool:Github PK Tool


Organizations
no2fpga

Sylvain "tnt" Munaut's repositories

ice40-playground

Various iCE40 cores / projects to play around with (mostly targeted at the icebreaker)

Language:VerilogLicense:NOASSERTIONStargazers:238Issues:20Issues:11

iCE40linux

Gateware / Firmware / BuildRoot to run linux on iCE40 / iCEBreaker

rvmofx

OpenFX plugin for automatic background removal using RobusVideoMatting

Language:C++License:GPL-3.0Stargazers:8Issues:2Issues:0

ViewSB

open-source USB analyzer toolkit with support for a variety of capture hardware

Language:PythonStargazers:4Issues:3Issues:0
Language:VerilogLicense:Apache-2.0Stargazers:2Issues:2Issues:0

micropython

MicroPython - a lean and efficient Python implementation for microcontrollers and constrained systems

Language:CLicense:MITStargazers:1Issues:2Issues:0

nextpnr

nextpnr portable FPGA place and route tool

Language:C++License:ISCStargazers:1Issues:3Issues:0
Language:VerilogLicense:Apache-2.0Stargazers:1Issues:2Issues:0

tt07-diff-rx

Differential receiver test for TinyTapeout 07 shuttle

Language:VerilogLicense:Apache-2.0Stargazers:1Issues:2Issues:0

archive

Documentation archive for onboard hardware and in-tree applets of the Glasgow Interface Explorer

Stargazers:0Issues:0Issues:0

bbbchatofx

OpenFX plugin to render BBB chat logs

Language:C++License:GPL-3.0Stargazers:0Issues:2Issues:0

compute-runtime

Intel® Graphics Compute Runtime for oneAPI Level Zero and OpenCL™ Driver

Language:C++License:MITStargazers:0Issues:0Issues:0

genkernel

Kernel and initrd generator

Language:ShellStargazers:0Issues:1Issues:0

Glasgow

Scottish Army Knife for electronics

Language:PythonLicense:0BSDStargazers:0Issues:0Issues:0

icestorm

Project IceStorm - Lattice iCE40 FPGAs Bitstream Documentaion (Reverse Engineered)

Language:PythonLicense:ISCStargazers:0Issues:3Issues:0

iverilog

Icarus Verilog

License:GPL-2.0Stargazers:0Issues:0Issues:0

litepcie

Small footprint and configurable PCIe core

Language:VerilogLicense:NOASSERTIONStargazers:0Issues:2Issues:0

litex

Build your hardware, easily!

Language:CLicense:NOASSERTIONStargazers:0Issues:2Issues:0

litex-boards

LiteX boards files

Language:PythonLicense:BSD-2-ClauseStargazers:0Issues:2Issues:0

netgen

Netgen complete LVS tool for comparing SPICE or verilog netlists

License:NOASSERTIONStargazers:0Issues:0Issues:0

openlane2

The next generation of OpenLane, rewritten from scratch with a modular architecture

Language:PythonLicense:Apache-2.0Stargazers:0Issues:1Issues:0

OpenROAD

OpenROAD's unified application implementing an RTL-to-GDS Flow. Documentation at https://openroad.readthedocs.io/en/latest/

License:BSD-3-ClauseStargazers:0Issues:0Issues:0

OpenSTA

OpenSTA engine

License:GPL-3.0Stargazers:0Issues:0Issues:0
Language:CStargazers:0Issues:0Issues:0

scopehal

Oscilloscope / logic analyzer platform abstraction library. This is the library only. Most users should use scopehal-cmake.

License:BSD-3-ClauseStargazers:0Issues:0Issues:0

scopehal-apps

Client applications for libscopehal

Language:C++License:BSD-3-ClauseStargazers:0Issues:2Issues:0

sedutil

DTA sedutil Self encrypting drive software

Language:C++Stargazers:0Issues:0Issues:0
Language:VerilogLicense:Apache-2.0Stargazers:0Issues:2Issues:0

voctosched

Tools for generating and manipulating frab-style fahrplan

Language:PythonLicense:GPL-3.0Stargazers:0Issues:2Issues:0