Ismael Pérez R.'s repositories

vunit

VUnit is a unit testing framework for VHDL/SystemVerilog

Language:VHDLLicense:NOASSERTIONStargazers:1Issues:0Issues:0

1.5-column-cv

XeTeX CV template with a "1.5-column" layout.

Language:TeXLicense:MITStargazers:0Issues:0Issues:0

awesome-hardware-tools

List of awesome open source hardware tools

Stargazers:0Issues:0Issues:0

aws-fpga

Official repository of the AWS EC2 FPGA Hardware and Software Development Kit

Language:VHDLLicense:NOASSERTIONStargazers:0Issues:0Issues:0

containers

Building and deploying container images for open source electronic design automation (EDA)

Language:ShellLicense:Apache-2.0Stargazers:0Issues:0Issues:0

edalize

An abstraction library for interfacing EDA tools

Language:PythonLicense:BSD-2-ClauseStargazers:0Issues:0Issues:0
Language:JavaScriptLicense:GPL-3.0Stargazers:0Issues:0Issues:0

fpbinary

Binary fixed point library for Python.

Language:CLicense:GPL-2.0Stargazers:0Issues:0Issues:0
Stargazers:0Issues:0Issues:0
Language:TclLicense:GPL-3.0Stargazers:0Issues:1Issues:7

fusesoc

Package manager and build abstraction tool for FPGA/ASIC development

Language:PythonLicense:BSD-2-ClauseStargazers:0Issues:0Issues:0

fxpmath

A python library for fractional fixed-point (base 2) arithmetic and binary manipulation with Numpy compatibility.

Language:PythonLicense:MITStargazers:0Issues:0Issues:0
Language:VHDLLicense:GPL-3.0Stargazers:0Issues:1Issues:5
Language:C++License:GPL-3.0Stargazers:0Issues:0Issues:0
Language:VerilogStargazers:0Issues:0Issues:0

hlsclt

A Vivado HLS Command Line Helper Tool

Language:PythonLicense:MITStargazers:0Issues:0Issues:0

landsat_soil_classifier

Classifier for Landsat satellite multi-spectral images for soil type classification

Language:VHDLLicense:MITStargazers:0Issues:0Issues:0

libsimdpp

Portable header-only C++ low level SIMD library

Language:C++License:BSL-1.0Stargazers:0Issues:0Issues:0
Language:JavaScriptLicense:AGPL-3.0Stargazers:0Issues:0Issues:0
Language:VerilogStargazers:0Issues:1Issues:0
Language:VHDLStargazers:0Issues:1Issues:0
Language:SystemVerilogStargazers:0Issues:1Issues:0

opae-xilinx

OPAE porting to Xilinx FPGA devices.

Language:CoqLicense:BSD-3-ClauseStargazers:0Issues:0Issues:0

petalinux-docker

Dockerfile to build images with Petalinux 2018.1~2021.1

Language:DockerfileLicense:MITStargazers:0Issues:0Issues:0

pyfpga

A Python package to use FPGA development tools programmatically.

Language:PythonLicense:GPL-3.0Stargazers:0Issues:0Issues:0

qemu-hdl-cosim

VM-HDL Co-Simulation for Servers with PCIe-Connected FPGAs

Language:VerilogLicense:MITStargazers:0Issues:0Issues:0
Language:Jupyter NotebookStargazers:0Issues:0Issues:0

screen-pong

Pong game in a FPGA.

Language:VerilogStargazers:0Issues:0Issues:0

tree-sitter-verilog

Verilog grammar for tree-sitter

Language:JavaScriptLicense:MITStargazers:0Issues:0Issues:0
Language:C++Stargazers:0Issues:0Issues:0