Eric Simpson (simpsoneric)

simpsoneric

Geek Repo

0

followers

0

following

Github PK Tool:Github PK Tool

Eric Simpson's starred repositories

genode-manual

Reference manual for the Genode OS Framework

Language:TclLicense:NOASSERTIONStargazers:23Issues:0Issues:0

aes

Verilog implementation of the symmetric block cipher AES (Advanced Encryption Standard) as specified in NIST FIPS 197. This implementation supports 128 and 256 bit keys.

Language:VerilogLicense:BSD-2-ClauseStargazers:322Issues:0Issues:0

OpenSK

OpenSK is an open-source implementation for security keys written in Rust that supports both FIDO U2F and FIDO2 standards.

Language:RustLicense:Apache-2.0Stargazers:2971Issues:0Issues:0

bbqueue

A SPSC, lockless, no_std, thread safe, queue, based on BipBuffers

Language:RustLicense:Apache-2.0Stargazers:414Issues:0Issues:0

ethernet-fmc-axi-eth

Example design for the Ethernet FMC using 4 AXI Ethernet Subsystem IP blocks

Language:TclLicense:MITStargazers:62Issues:0Issues:0

VexRiscv

A FPGA friendly 32 bit RISC-V CPU implementation

Language:AssemblyLicense:MITStargazers:2395Issues:0Issues:0

adoc-presentation-model

SYNCHRONIZED FROM GITLAB. A full AsciiDoc extended example generating both HTML PDF and Reveal.js slides #asciidoctor #plantuml #reveal

Language:ShellStargazers:35Issues:0Issues:0

bytefield-svg

Node module that generates byte field diagrams in SVG format

Language:ClojureLicense:EPL-2.0Stargazers:124Issues:0Issues:0

riscv-cocotb

cocotb infrastructure for RISC-V core testing

Language:PythonLicense:MITStargazers:9Issues:0Issues:0
Language:PythonLicense:MITStargazers:15Issues:0Issues:0

snickerdoodle-hls-data-mover

A parameterizable Vivado HLS project (C/C++) that implements a master and slave AXI-Stream to AXI-Memory-Mapped data mover (AXI-S defaults to 8 bits and AXI-MM to 64 bits)

Language:VHDLStargazers:13Issues:0Issues:0

Ripes

A graphical processor simulator and assembly editor for the RISC-V ISA

Language:C++License:MITStargazers:2498Issues:0Issues:0

awesome-hdl

Hardware Description Languages

Stargazers:932Issues:0Issues:0

dandelion-lib

A dataflow library for TAPAS and dandelion projects

Language:ScalaLicense:BSD-3-ClauseStargazers:6Issues:0Issues:0

computer-architecture-and-systems-resources

A curated list of Computer Architecture and Systems resources

License:CC0-1.0Stargazers:430Issues:0Issues:0

pulp

This is the top-level project for the PULP Platform. It instantiates a PULP open-source system with a PULP SoC (microcontroller) domain accelerated by a PULP cluster with 8 cores.

Language:SystemVerilogLicense:NOASSERTIONStargazers:436Issues:0Issues:0

fusesoc-cores

FuseSoC standard core library

Stargazers:104Issues:0Issues:0

ariane

Ariane is a 6-stage RISC-V CPU

Language:SystemVerilogLicense:NOASSERTIONStargazers:111Issues:0Issues:0

hdlConvertor

Fast Verilog/VHDL parser preprocessor and code generator for C++/Python based on ANTLR4

Language:C++License:MITStargazers:279Issues:0Issues:0

aws-fpga

Official repository of the AWS EC2 FPGA Hardware and Software Development Kit

Language:VHDLLicense:NOASSERTIONStargazers:1498Issues:0Issues:0
Language:C++License:BSD-3-ClauseStargazers:84Issues:0Issues:0

verible

Verible is a suite of SystemVerilog developer tools, including a parser, style-linter, formatter and language server

Language:C++License:NOASSERTIONStargazers:1301Issues:0Issues:0

surf

A huge VHDL library for FPGA development

Language:VHDLLicense:NOASSERTIONStargazers:318Issues:0Issues:0

ruckus

Vivado build system

Language:TclLicense:NOASSERTIONStargazers:68Issues:0Issues:0

logic

CMake, SystemVerilog and SystemC utilities for creating, building and testing RTL projects for FPGAs and ASICs.

Language:SystemVerilogLicense:Apache-2.0Stargazers:266Issues:0Issues:0

hdl_checker

Repurposing existing HDL tools to help writing better code

Language:PythonLicense:GPL-3.0Stargazers:190Issues:0Issues:0

basejump_stl

BaseJump STL: A Standard Template Library for SystemVerilog

Language:SystemVerilogLicense:NOASSERTIONStargazers:494Issues:0Issues:0

cluelib

A generic class library in SystemVerilog

Language:HTMLStargazers:77Issues:0Issues:0

hlslib

A collection of extensions for Vitis and Intel FPGA OpenCL to improve developer quality of life.

Language:C++License:BSD-3-ClauseStargazers:297Issues:0Issues:0

matchlib

SystemC/C++ library of commonly-used hardware functions and components for HLS.

Language:C++License:NOASSERTIONStargazers:251Issues:0Issues:0