shanyinshuiyue's repositories

ArchiveBox

🗃 Open source self-hosted web archiving. Takes URLs/browser history/bookmarks/Pocket/Pinboard/etc., saves HTML, JS, PDFs, media, and more...

Language:PythonLicense:MITStargazers:0Issues:0Issues:0

awesome-cpp

A curated list of awesome C++ (or C) frameworks, libraries, resources, and shiny things. Inspired by awesome-... stuff.

License:MITStargazers:0Issues:0Issues:0

basejump_stl

BaseJump STL: A Standard Template Library for SystemVerilog

License:NOASSERTIONStargazers:0Issues:0Issues:0

bsg_manycore

Tile based architecture designed for computing efficiency, scalability and generality

License:NOASSERTIONStargazers:0Issues:0Issues:0

C

Collection of various algorithms in mathematics, machine learning, computer science, physics, etc implemented in C for educational purposes.

License:GPL-3.0Stargazers:0Issues:0Issues:0

casper_dspdevel

VHDL development of the CASPER FFT for use in the CASPER toolflow.

License:GPL-3.0Stargazers:0Issues:0Issues:0

cdbus

CDBUS (Controller Distributed Bus) Protocol and the IP Core for FPGA users

Stargazers:0Issues:0Issues:0

cmd-parser

一个非常简单好用的命令解析器,占用资源极少极少,采用哈希算法超快匹配命令!

License:Apache-2.0Stargazers:0Issues:0Issues:0

en_cl_fix

VHDL Fixed Point Library with Bit-True MATLAB Models

License:NOASSERTIONStargazers:0Issues:0Issues:0

f32c

A 32-bit RISC-V / MIPS ISA retargetable CPU core & SoC, 1.62 DMIPS/MHz

License:BSD-2-ClauseStargazers:0Issues:0Issues:0

FEC

FEC Codec IP core library for a some famous codes (BCH, RS, LDPC, Turbo)

License:MITStargazers:0Issues:0Issues:0

fpu

IEEE 754 floating point library in system-verilog and vhdl

License:Apache-2.0Stargazers:0Issues:0Issues:0

gps_sim

An attempt to synthesize GPS signals in FPGA logic.

License:MITStargazers:0Issues:0Issues:0

hdl4fpga

VHDL library 4 FPGAs

License:LGPL-3.0Stargazers:0Issues:0Issues:0

hdmi

Send video/audio over HDMI on an FPGA

License:NOASSERTIONStargazers:0Issues:0Issues:0

mlib

Library of generic and type safe containers in pure C language (C99 or C11) for a wide collection of container (comparable to the C++ STL).

License:BSD-2-ClauseStargazers:0Issues:0Issues:0
Stargazers:0Issues:0Issues:0

MultiTimer

Software timers extend module for embedded

License:MITStargazers:0Issues:0Issues:0

neorv32

🖥️ A tiny, customizable and highly extensible MCU-class 32-bit RISC-V soft-core CPU and microcontroller-like SoC written in platform-independent VHDL.

License:BSD-3-ClauseStargazers:0Issues:0Issues:0

neoTRNG

🎲 A Tiny and Platform-Independent True Random Number Generator for any FPGA (and ASIC).

License:BSD-3-ClauseStargazers:0Issues:0Issues:0

no-OS

Software drivers in C for systems without an operating system

License:NOASSERTIONStargazers:0Issues:0Issues:0
License:NOASSERTIONStargazers:0Issues:0Issues:0

ofm

Open FPGA Modules

License:BSD-3-ClauseStargazers:0Issues:0Issues:0

PandABlocks-FPGA

VHDL functional blocks with their simulations and test sequences

License:Apache-2.0Stargazers:0Issues:0Issues:0

PipeWork

Pipework components is VHDL library for NoC(Network on Chip).

Stargazers:0Issues:0Issues:0

surf

A huge VHDL library for FPGA development

License:NOASSERTIONStargazers:0Issues:0Issues:0

ToolJet

Low-code platform for building business applications. Connect to databases, cloud storages, GraphQL, API endpoints, Airtable, Google sheets, OpenAI, etc and build apps using drag and drop application builder. Built using JavaScript/TypeScript. 🚀

License:AGPL-3.0Stargazers:0Issues:0Issues:0
Stargazers:0Issues:0Issues:0
License:Apache-2.0Stargazers:0Issues:0Issues:0

xilinx-risc-v

Porting PicoRV32 to Artix-7 and Spartan-7. Generic vivado template for supported Xilinx FPGA is included.

License:MITStargazers:0Issues:0Issues:0