Seb (sebinho)

sebinho

Geek Repo

Github PK Tool:Github PK Tool

Seb's starred repositories

ces_util_lib

CES VHDL utility library, with packages, memories, FIFOs, Clock Domain Crossing and more useful VHDL modules

Language:VHDLLicense:MITStargazers:10Issues:0Issues:0

flatpak-python

Playing with Flatpak and Python

Language:PythonStargazers:11Issues:0Issues:0

vsd-physical-design-using-open-source-tools

The repository shows the 5 - day wrokshop for beginners using open source tools like yosys, magic, opentimer, qrouter and the purpose of this repository is to provide a complete idea about the 5 - days workshop on VLSI SoC/Physical design using open source EDA tools.

Stargazers:2Issues:0Issues:0

OpenLANE-SkyWater130-workshop

This repository aims to capture the works done in 5-day workshop of Adavance Physical Design using OpenLANE/SkyWater130. The workshop helps to familiarise with the efabless OpenLANE VLSI design flow RTL2GDS and the Skywater 130nm PDK.

License:MITStargazers:21Issues:0Issues:0

lambdapdk

Library of open source Process Design Kits (PDKs)

Language:SourcePawnLicense:Apache-2.0Stargazers:20Issues:0Issues:0

huawei-solar-lib

Python library for communicating with Huawei SUN2000 inverters - mirror of https://gitlab.com/Emilv2/huawei-solar/

Language:PythonLicense:AGPL-3.0Stargazers:21Issues:0Issues:0

zerosoc

Demo SoC for SiliconCompiler.

Language:SystemVerilogStargazers:52Issues:0Issues:0

switchboard

Communication framework for RTL simulation and emulation.

Language:PythonLicense:Apache-2.0Stargazers:237Issues:0Issues:0

magic

Magic VLSI Layout Tool

Language:CLicense:NOASSERTIONStargazers:446Issues:0Issues:0

open_pdks

PDK installer for open-source EDA tools and toolchains. Distributed with setups for the SkyWater 130nm and Global Foundries 180nm open processes.

Language:PythonLicense:Apache-2.0Stargazers:263Issues:0Issues:0

OpenLane

OpenLane is an automated RTL to GDSII flow based on several components including OpenROAD, Yosys, Magic, Netgen and custom methodology scripts for design exploration and optimization.

Language:PythonLicense:Apache-2.0Stargazers:1254Issues:0Issues:0

ghdl-yosys-plugin

VHDL synthesis (based on ghdl)

Language:VHDLLicense:GPL-3.0Stargazers:295Issues:0Issues:0

yosys

Yosys Open SYnthesis Suite

Language:C++License:ISCStargazers:3301Issues:0Issues:0

siliconcompiler

A modular build system for hardware

Language:PythonLicense:Apache-2.0Stargazers:805Issues:0Issues:0

OpenROAD

OpenROAD's unified application implementing an RTL-to-GDS Flow. Documentation at https://openroad.readthedocs.io/en/latest/

Language:VerilogLicense:BSD-3-ClauseStargazers:1423Issues:0Issues:0

cocotbext-i2c

I2C models for cocotb

Language:PythonLicense:MITStargazers:25Issues:0Issues:0

i3c-slave-design

MIPI I3C Basic v1.0 communication Slave source code in Verilog with BSD license to support use in sensors and other devices.

Language:VerilogLicense:NOASSERTIONStargazers:100Issues:0Issues:0

verilog-i2c

Verilog I2C interface for FPGA implementation

Language:VerilogLicense:MITStargazers:485Issues:0Issues:0

corsair

Control and Status Register map generator for HDL projects

Language:PythonLicense:MITStargazers:92Issues:0Issues:0

oss-cad-suite-build

Multi-platform nightly builds of open source digital design and verification tools

Language:ShellLicense:ISCStargazers:728Issues:0Issues:0
Language:PythonStargazers:1Issues:0Issues:0

fuse-zynq

Generate Zynq configurations without using the vendor GUI

Language:PythonLicense:BSD-2-ClauseStargazers:29Issues:0Issues:0

VexRiscv

A FPGA friendly 32 bit RISC-V CPU implementation

Language:AssemblyLicense:MITStargazers:2320Issues:0Issues:0

edalize

An abstraction library for interfacing EDA tools

Language:PythonLicense:BSD-2-ClauseStargazers:603Issues:0Issues:0

cocotbext-uart

UART models for cocotb

Language:PythonLicense:MITStargazers:22Issues:0Issues:0

core_dbg_bridge

UART -> AXI Bridge

Language:VerilogLicense:LGPL-2.1Stargazers:49Issues:0Issues:0

surf

A huge VHDL library for FPGA development

Language:VHDLLicense:NOASSERTIONStargazers:302Issues:0Issues:0

cocotbext-axi

AXI interface modules for Cocotb

Language:PythonLicense:MITStargazers:194Issues:0Issues:0

fusesoc

Package manager and build abstraction tool for FPGA/ASIC development

Language:PythonLicense:BSD-2-ClauseStargazers:1141Issues:0Issues:0