Rodrigo A. Melo (rodrigomelo9)

rodrigomelo9

Geek Repo

Company:indie Semiconductor

Location:Bs As, Argentina

Home Page:www.linkedin.com/in/rodrigoalejandromelo

Twitter:@rodrigomelo9ok

Github PK Tool:Github PK Tool

Rodrigo A. Melo's repositories

FOSS-for-FPGAs

A getting started presentation (with examples) about how to use FLOSS for FPGA development.

Language:MakefileLicense:CC-BY-4.0Stargazers:32Issues:5Issues:13

vivado-notes

Notes about how to perform uncommon things with Vivado

Language:VHDLLicense:CC-BY-4.0Stargazers:6Issues:2Issues:0

zynq-pl-ps

PL-PS data exchange in Zynq devices

Language:TclLicense:CC-BY-4.0Stargazers:5Issues:3Issues:0

zynq-examples

General-purpose examples based on Zynq (7000, UltraScale+) devices from Xilinx

Language:TclLicense:CC-BY-4.0Stargazers:3Issues:2Issues:3

zynq-petalinux

Zynq (ZCU102, Zynq US+) Petalinux Example

Language:CLicense:CC-BY-4.0Stargazers:3Issues:0Issues:0

rodrigomelo9

My personal repository

Language:MakefileLicense:CC-BY-4.0Stargazers:1Issues:2Issues:3

docker

Scripts to build and use docker images including GHDL

Language:ShellStargazers:1Issues:1Issues:0

amba

A presentation about Advanced Microcontroller Bus Architecture

Language:SystemVerilogLicense:CC-BY-4.0Stargazers:0Issues:2Issues:27

awesome

A curated list of awesome resources for HDL design and verification

Language:PythonLicense:CC0-1.0Stargazers:0Issues:1Issues:0

btd

A multi-version Sphinx building tool, based on docker images and shell scripts

Language:ShellLicense:NOASSERTIONStargazers:0Issues:1Issues:0

cocotb

cocotb, a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python

Language:PythonLicense:NOASSERTIONStargazers:0Issues:1Issues:0

constraints

Constraint files for Hardware Description Language (HDL) designs targeting FPGA boards

License:Apache-2.0Stargazers:0Issues:0Issues:0

containers

Building and deploying container images for open source electronic design automation (EDA)

License:Apache-2.0Stargazers:0Issues:0Issues:0

corescore

CoreScore

Language:VerilogLicense:Apache-2.0Stargazers:0Issues:1Issues:0

ghdl

VHDL 2008/93/87 simulator

Language:VHDLLicense:GPL-2.0Stargazers:0Issues:1Issues:0

ghdl-yosys-plugin

VHDL synthesis (based on ghdl)

Language:VHDLLicense:GPL-3.0Stargazers:0Issues:1Issues:0
Stargazers:0Issues:2Issues:0

min_night

An easy on the eyes Hugo blog theme with dark mode.

Language:HTMLLicense:MITStargazers:0Issues:1Issues:0

optimuslogic

profile repo

Stargazers:0Issues:1Issues:0

reveal-md

reveal.js on steroids! Get beautiful reveal.js presentations from any Markdown file

Language:JavaScriptStargazers:0Issues:1Issues:0

symbiflow-examples

Example designs showing different ways to use SymbiFlow toolchains.

Language:VerilogLicense:ISCStargazers:0Issues:1Issues:0

tdd-intro

Example of Test Driven Design with VUnit

Language:VHDLLicense:MITStargazers:0Issues:1Issues:0

Termux-packages

Electronic design automation (EDA) package recipes for Termux (Android)

License:Apache-2.0Stargazers:0Issues:0Issues:0

uvm-python

UVM 1.2 port to Python

Language:PythonLicense:Apache-2.0Stargazers:0Issues:1Issues:0

vboard

Virtual development board for HDL design

Language:VHDLStargazers:0Issues:1Issues:0

vhdl-cfg

Playground to explore and compare how configuration is handled by different tools for development of VHDL projects

Language:VHDLStargazers:0Issues:0Issues:0
License:CC-BY-4.0Stargazers:0Issues:0Issues:0

vunit

VUnit is a unit testing framework for VHDL/SystemVerilog

Language:VHDLLicense:NOASSERTIONStargazers:0Issues:1Issues:0

wavedrom

:ocean: Digital timing diagram rendering engine

License:MITStargazers:0Issues:0Issues:0