Satan's disciples (qby123456)

qby123456

Geek Repo

Github PK Tool:Github PK Tool

Satan's disciples's repositories

AutoBridge

[FPGA 2021, Best Paper Award] An automated floorplanning and pipelining tool for Vivado HLS.

Language:C++License:MITStargazers:0Issues:0Issues:0

axi

AXI SystemVerilog synthesizable IP modules and verification infrastructure for high-performance on-chip communication

Language:SystemVerilogLicense:NOASSERTIONStargazers:0Issues:0Issues:0

AXI-SDCard-High-Speed-Controller

A SDCard Controller Based AXI4 Bus with SDIO 4-wire 50MHz Mode(Max Rate 23MB/s)

Language:SystemVerilogLicense:Apache-2.0Stargazers:0Issues:0Issues:0

basic_verilog

Must-have verilog systemverilog modules

Language:VerilogStargazers:0Issues:0Issues:0

cdbus_ip

CDBUS Protocol and the IP Core for FPGA users

Language:VerilogStargazers:0Issues:0Issues:0

cocotb

cocotb, a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python

Language:PythonLicense:BSD-3-ClauseStargazers:0Issues:0Issues:0

ebook-GPT-translator

Enjoy reading with your favorite style.

License:MITStargazers:0Issues:0Issues:0

edalize

An abstraction library for interfacing EDA tools

License:BSD-2-ClauseStargazers:0Issues:0Issues:0

FPGA-ftdi245fifo

FPGA-based USB fast data transmission using FT232H/FT600 chip. 使用FT232H/FT600芯片进行FPGA与电脑之间的高速数据传输。

Stargazers:0Issues:0Issues:0

fpga-network-stack

Scalable Network Stack for FPGAs (TCP/IP, RoCEv2)

License:BSD-3-ClauseStargazers:0Issues:0Issues:0

FPGA-USB-Device

An FPGA-based USB full-speed device core to implement USB-serial, USB-camera, USB-audio, USB-disk, USB-keyboard, etc. It requires 3 common IOs instead of additional chips. 基于FPGA的USB full-speed device端控制器,可实现USB串口、USB摄像头、USB音频、U盘、USB键盘等设备,只需要3个FPGA普通IO,而不需要额外的接口芯片。

Stargazers:0Issues:0Issues:0

fusesoc

Package manager and build abstraction tool for FPGA/ASIC development

Language:PythonLicense:BSD-2-ClauseStargazers:0Issues:0Issues:0

fxpmath

A python library for fractional fixed-point (base 2) arithmetic and binary manipulation with Numpy compatibility.

License:MITStargazers:0Issues:0Issues:0

ISP-pipeline-hdrplus

Denoise,HDR,Isppipeline,Image-processing(图形处理),camera, Isp ,HDRplus

Stargazers:0Issues:0Issues:0
License:MITStargazers:0Issues:0Issues:0

open-register-design-tool

Tool to generate register RTL, models, and docs using SystemRDL or JSpec input

License:Apache-2.0Stargazers:0Issues:0Issues:0

opentitan

OpenTitan: Open source silicon root of trust

License:Apache-2.0Stargazers:0Issues:0Issues:0

pp4fpgas-cn

中文版 Parallel Programming for FPGAs

Language:CSSStargazers:0Issues:0Issues:0

PYNQ

Python Productivity for ZYNQ

License:BSD-3-ClauseStargazers:0Issues:0Issues:0

qspiflash

A set of Wishbone Controlled SPI Flash Controllers

Language:VerilogStargazers:0Issues:0Issues:0

regif

A Register Interface tool

License:NOASSERTIONStargazers:0Issues:0Issues:0

riffa

The RIFFA development repository

License:NOASSERTIONStargazers:0Issues:0Issues:0

typer

Typer, build great CLIs. Easy to code. Based on Python type hints.

License:MITStargazers:0Issues:0Issues:0

verilog-axis

Verilog AXI stream components for FPGA implementation

Language:PythonLicense:MITStargazers:0Issues:0Issues:0

verilog-ethernet

Verilog Ethernet components for FPGA implementation

License:MITStargazers:0Issues:0Issues:0

verilog-pcie

Verilog PCI express components

License:MITStargazers:0Issues:0Issues:0

x393

mirror of https://git.elphel.com/Elphel/x393

License:GPL-3.0Stargazers:0Issues:0Issues:0

Xilinx_Library

Vivado诸多IP,包括图像处理等

License:MITStargazers:0Issues:0Issues:0

xkISP

xkISP:Xinkai ISP IP Core (HLS)

Language:VerilogLicense:NOASSERTIONStargazers:0Issues:0Issues:0

zynqmp_cam_isp_demo

ISP-Lite, VIP, MIPI-RX IP实现,测试平台为KV260+AR1335 3MP@30fps

License:MITStargazers:0Issues:0Issues:0