Umesh Prasad (psumesh)

psumesh

Geek Repo

0

followers

0

following

0

stars

Company:Ultrabotix Pvt. Ltd

Location:IN

Home Page:hub.docker.com/u/psumesh

Twitter:@Quotes_Daemon

Github PK Tool:Github PK Tool

Umesh Prasad's repositories

axi

AXI SystemVerilog synthesizable IP modules and verification infrastructure for high-performance on-chip communication

Language:SystemVerilogLicense:NOASSERTIONStargazers:0Issues:0Issues:0

basejump_stl

BaseJump STL: A Standard Template Library for SystemVerilog

Language:VerilogLicense:NOASSERTIONStargazers:0Issues:0Issues:0

C

Collection of various algorithms in mathematics, machine learning, computer science, physics, etc implemented in C for educational purposes.

Language:CLicense:GPL-3.0Stargazers:0Issues:0Issues:0

cocotb

cocotb, a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python

Language:PythonLicense:BSD-3-ClauseStargazers:0Issues:0Issues:0

cocotb-bus

Pre-packaged testbenching tools and reusable bus interfaces for cocotb

Language:PythonLicense:NOASSERTIONStargazers:0Issues:0Issues:0

cocotb-test

Unit testing for cocotb

Language:PythonLicense:BSD-2-ClauseStargazers:0Issues:0Issues:0

cocotbext-eth

Ethernet interface modules for Cocotb

Language:PythonLicense:MITStargazers:0Issues:0Issues:0
Language:VerilogLicense:GPL-3.0Stargazers:0Issues:0Issues:0

dpll

A collection of phase locked loop (PLL) related projects

Language:VerilogStargazers:0Issues:0Issues:0

generative-ai-for-beginners

18 Lessons, Get Started Building with Generative AI đź”— https://microsoft.github.io/generative-ai-for-beginners/

License:MITStargazers:0Issues:0Issues:0

interpolation

Digital Interpolation Techniques Applied to Digital Signal Processing

Language:VerilogStargazers:0Issues:0Issues:0

iob-cache

Verilog configurable cache

Language:VerilogLicense:MITStargazers:0Issues:0Issues:0

kianRiscV

KianRISC-V! No RISC-V, no fun! RISC-V CPU with strong design rules and unittested! CPU you can trust! kianv rv32im risc-v a hdmi soc with harris computer architecture in verilog: multicycle, singlecycle and 5-stage pipelining Processor. Multicycle Soc with firmware that runs raytracer, mandelbrot, 3d hdmi gfx, dma controller, linux soc included, .

License:ISCStargazers:0Issues:0Issues:0

malwoverview

Malwoverview is a first response tool used for threat hunting and offers intel information from Virus Total, Hybrid Analysis, URLHaus, Polyswarm, Malshare, Alien Vault, Malpedia, Malware Bazaar, ThreatFox, Triage, InQuest and it is able to scan Android devices against VT.

License:GPL-3.0Stargazers:0Issues:0Issues:0

markdown2congluence

Sync markdown files with Confluence pages.

Language:GoLicense:NOASSERTIONStargazers:0Issues:0Issues:0

PeakRDL

Control and status register code generator toolchain

Language:PythonLicense:GPL-3.0Stargazers:0Issues:0Issues:0

pymtl3

Pymtl 3 (Mamba), an open-source Python-based hardware generation, simulation, and verification framework

License:BSD-3-ClauseStargazers:0Issues:0Issues:0

pyxsi

Python/C/RTL cosimulation with Xilinx's xsim simulator

License:NOASSERTIONStargazers:0Issues:0Issues:0

rggen

Code generation tool for configuration and status registers(todo)

Language:RubyLicense:MITStargazers:0Issues:0Issues:0
License:Apache-2.0Stargazers:0Issues:0Issues:0

sdspi

SD-Card controller, using a SPI interface that is (optionally) shared

Stargazers:0Issues:0Issues:0

style-guides

lowRISC Style Guides

License:CC-BY-4.0Stargazers:0Issues:0Issues:0

switchboard_VE

Communication framework for RTL simulation and emulation.

License:Apache-2.0Stargazers:0Issues:0Issues:0

telegram-get-remote-ip

Get IP address on other side audio call in Telegram.

Stargazers:0Issues:0Issues:0

tvip-axi

AMBA AXI VIP

Language:SystemVerilogLicense:Apache-2.0Stargazers:0Issues:0Issues:0

umi

Universal Memory Interface (UMI)

License:Apache-2.0Stargazers:0Issues:0Issues:0

VeeRwolf

FuseSoC-based SoC for SweRV EH1 and EL2

Stargazers:0Issues:0Issues:0
License:Apache-2.0Stargazers:0Issues:0Issues:0

verilog-pcie

Verilog PCI express components

Language:VerilogLicense:MITStargazers:0Issues:0Issues:0

wal

WAL enables programmable waveform analysis.

License:BSD-3-ClauseStargazers:0Issues:0Issues:0