openFPGA666 / FpgaGuide

分享FPGA开发知识、优秀文章、学习网站以及开源项目。本项目收集了github中许多FPGA开源项目。

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

FPGA 知识库

本项目收集了github中许多FPGA开源项目。主要目的是分享FPGA的优秀文章,学习网站,以及开源项目。

知识库旨在为学习FPGA的小伙伴提供一系列:

  • 分享FPGA入门路线
  • 分享开源的FPGA项目
  • 免费且靠谱的FPGA学习资料
  • FPGA岗求职面试指南
  • 分享一系列原创文章和项目

赠人玫瑰手有余香。知识库会持续保持更新,欢迎收藏品鉴

一、开发环境搭建与软件包下载

vivado版本越高,需要安装的存储空间越大,需要性能强大的CPU和大运行内存才能跑的动。建议根据自己的电脑配置选择版本,笔记本电脑建议上手vivado2017.4,台式机电脑安装更好,运行和综合布线速度更快,linux系统比windows系统运行速度更快。

vivado安装包

Quartus安装包

Modelsim安装包

更多版本不断更新中,请关注公众号《FPGA入门到精通》

二、FPGA入门

数字电路基础

数字电路是FPGA的基础,可以初步了解和学习,遇到问题可以再来书里找相关知识学习。

verilog HDL教程

HDL语言(Hardware Design Language),用于FPGA开发建模,语法类似于软件C语言,但设计**完全不一样,类似于建模

system verilog 教程

system verilog主要用于编写测试仿真激励,验证verilog HDL设计的电路是否正常。

网上教程

时序约束

官方网站

开发环境搭建

练习网站推荐

HDLBits可以提供 Verilog基础教程,内容丰富,包括Verilog的基础语法、由逻辑门与触发器组成的电路、组合时序电路的概念、模块层级概念、testbench等的编写,还能够在线仿真,并提供结果对比,非常好用的一个网站。

三、FPGA进阶

器件选型指南

Xilinx公司的器件选型指南

Altera/Intel公司的器件选型指南

Lattice Semi公司的器件选型指南

ZNYQ

HLS

硬件驱动

四、开源项目

opencores 开源网站

PYNQ

PYNQ已广泛用于机器学习研究和原型设计

FPGA4FUN

fpga4fun是一个非常不错的FPGA免费学习网站

基础项目:

接口项目:

高阶项目:

通信类

音视频

RSIC-V

通用处理器

存储器管理

测量

其它

官方开源仓库

五、原创文章系列

联系方式

原创公众号

分享FPGA开发知识、项目、调试经验,最近整理了一套免费的学习资料(FPGA电子书、开发软件包、进阶资料库),详情戳:

联系作者

作者是一名普通的FPGA开发者,热爱学习和分享。 参加工作以后越来越理解交流和分享的重要性,在不停地汲取营养的同时,也希望能帮助到更多的小伙伴们,可加微信,备注(Github)。

About

分享FPGA开发知识、优秀文章、学习网站以及开源项目。本项目收集了github中许多FPGA开源项目。

License:Apache License 2.0