Olav Magnus Berge (omberge)

omberge

Geek Repo

Location:Norway

Github PK Tool:Github PK Tool

Olav Magnus Berge's repositories

esp32-homekit

ESP-32 implementation of Apple Homekit Accessory Protocol(HAP)

Language:CLicense:Apache-2.0Stargazers:2Issues:2Issues:0

FblCtrl

RC Helicopter Flybarless Controller implemented in VHDL.

License:MITStargazers:1Issues:1Issues:0
Language:VHDLStargazers:0Issues:0Issues:0

ek80

Simrad EK80 echosounder python interface module.

Language:PythonStargazers:0Issues:0Issues:0

ghdl

VHDL 2008/93/87 simulator

Language:VHDLLicense:GPL-2.0Stargazers:0Issues:1Issues:0

gr-ofdm

Out-of-tree module for GNU Radio containing a complete OFDM implementation including GUI for reasearch and teaching

Language:PythonLicense:GPL-3.0Stargazers:0Issues:0Issues:0

Kognifai

Start here!

License:NOASSERTIONStargazers:0Issues:1Issues:0
Language:Jupyter NotebookLicense:NOASSERTIONStargazers:0Issues:0Issues:0

leansdr

Lightweight, portable software-defined radio

Language:C++License:GPL-3.0Stargazers:0Issues:0Issues:0

leantrx

Web UI for leansdr on embedded platforms

Language:JavaScriptLicense:GPL-3.0Stargazers:0Issues:0Issues:0

test

this is just a test repo to test git and github functionality

Stargazers:0Issues:1Issues:0

microbit

Et MakeCode-prosjekt

Language:TypeScriptStargazers:0Issues:1Issues:0

Milight-Wifi-Bridge-3.0-Python-Library

[Done] Milight 3.0 python library (LimitlessLED Wifi Bridge v6.0): Control milight wireless lights with wifi

License:MITStargazers:0Issues:0Issues:0

RedPitaya

Red Pitaya Ecosystem and Applications

Language:CLicense:NOASSERTIONStargazers:0Issues:1Issues:0

uart

uart written in generic VHDL

Language:VHDLLicense:MITStargazers:0Issues:2Issues:0

UVVM

Open Source VHDL Verification Library and Methodology - for very efficient VHDL verification of FPGA and ASIC - resulting also in a significant quality improvement

Language:VHDLLicense:Apache-2.0Stargazers:0Issues:1Issues:0
Language:CLicense:NOASSERTIONStargazers:0Issues:0Issues:0

VidorFPGA

repository for Vidor FPGA IP blocks and projects

Language:VerilogLicense:NOASSERTIONStargazers:0Issues:1Issues:0

vunit

VUnit is a unit testing framework for VHDL/SystemVerilog

Language:VHDLLicense:NOASSERTIONStargazers:0Issues:1Issues:0

wavedrom

:ocean: Digital timing diagram rendering engine

Language:JavaScriptLicense:MITStargazers:0Issues:0Issues:0