olofk / corescore

CoreScore

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

Can't launch corecount.py correctly

Martoni opened this issue · comments

I managed to synthesize corescore for colorlight_5a75b. Seems to works but if I open terminal on uart I have an end of line problem :

$ screen /dev/ttyUSB0 57600
  �Core 00002 says hello
                        �Core 00003 says hello
                                              �Core 00004 says hello
                                                                    �Core 00006 says hello
                                                                                          �Core 00007 says hello

I tried to use corecount.py script instead of standard uart terminal but I have an exception on msgpack:

$ python ./fusesoc_libraries/corescore/sw/corecount.py /dev/ttyUSB0
Traceback (most recent call last):
  File "./fusesoc_libraries/corescore/sw/corecount.py", line 50, in <module>
    curses.wrapper(main)
  File "/usr/local/lib/python3.7/curses/__init__.py", line 102, in wrapper
    return func(stdscr, *args, **kwds)
  File "./fusesoc_libraries/corescore/sw/corecount.py", line 39, in main
    u = umsgpack.unpack(ser)
  File "/home/user/pyenv/envp37/lib/python3.7/site-packages/msgpack/__init__.py", line 58, in unpack
    return unpackb(data, **kwargs)
  File "msgpack/_unpacker.pyx", line 211, in msgpack._unpacker.unpackb
msgpack.exceptions.UnpackValueError: Unpack failed: error = 0

My python version is :

$ python --version
Python 3.7.4