nndurj / sv_dpi

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

About

Sample systemverilog dpi-c program that compiles on verilator and questasim

verilator

make SIM=verilator

Questasim

make SIM=questa

About


Languages

Language:Makefile 43.1%Language:C++ 38.1%Language:SystemVerilog 18.8%