mnentwig

mnentwig

Geek Repo

Github PK Tool:Github PK Tool

mnentwig's repositories

busbridge3

Xilinx 7-series FTDI-FPGA interface through JTAG with 125 us roundtrip latency

Language:C#License:NOASSERTIONStargazers:18Issues:0Issues:0

forthytwo

Alternative compiler for the J1B embedded CPU

Language:VLicense:MITStargazers:14Issues:1Issues:0

STDFoo

Make large ATE .stdf(.gz) files accessible (mostly PTR "RESULT" data, binning, test limits). Optionally fast binary import into Octave, with emphasis on working with multiple datasets.

Language:C++License:BSD-2-ClauseStargazers:4Issues:1Issues:0

octsock5.jl

octsock5 : high-speed data exchange between Julia processes and others (e.g. in the future Octave)

Language:JuliaLicense:NOASSERTIONStargazers:2Issues:0Issues:0

afxui

custom controls for axe fx

Language:CLicense:BSD-2-ClauseStargazers:1Issues:1Issues:0

echeese61

Transistor Organ from Hell

Language:Objective-CLicense:NOASSERTIONStargazers:1Issues:0Issues:0

aCCb

a C++ cookbook

Language:C++License:MITStargazers:0Issues:1Issues:0

EigenLevenbergMarquardtExample

cleaned up code sample for Eigen 3.4.0's "Levenberg-Marquardt" nonlinear optimization

Language:C++License:MITStargazers:0Issues:1Issues:0

ESP32_ADCrate_dbg

measures actual vs configured ADC sample rate on ESP32

Language:CStargazers:0Issues:1Issues:0

fooplot

Heavy-duty 2d plotting tool. The little it will do, it does stupidly fast e.g. browse 4M points @ 60 FPS

Language:C++License:MITStargazers:0Issues:1Issues:0

glTest5

Toy project to get back in touch with C++ / openGl (Linux / raspberry pi 4, works also on Windows via mingw 32- and 64 bit)

Language:C++License:MITStargazers:0Issues:1Issues:0

libsk61

library with Octave (Matlab) utility functions

Language:MATLABLicense:BSD-2-ClauseStargazers:0Issues:0Issues:0

makeheaderspp

Automatic header generation for C++ classes

Language:C++License:BSD-2-ClauseStargazers:0Issues:1Issues:0

MNOGLA

MN's OS-independent Open-GL application host

Language:C++License:MITStargazers:0Issues:0Issues:0

nnDemo

Simple neural network implementation based on equations from the first chapters of M. Nielsen's book

Language:MatlabLicense:MITStargazers:0Issues:0Issues:0

octsock5_cSharp

high speed inter-process data interface, C# end

Language:C#Stargazers:0Issues:0Issues:0

ods2console

Simple C++ example to traverse openoffice spreadsheet and dump all sheets to console

Language:C++License:NOASSERTIONStargazers:0Issues:1Issues:0

RpPicoW_connTest

Raspberry Pico W WIFI connection test using two boards

Language:CLicense:BSD-2-ClauseStargazers:0Issues:1Issues:0

verilogSnippets

collection of Verilog code samples e.g. for FPGA

Language:VerilogLicense:BSD-2-ClauseStargazers:0Issues:1Issues:0

WS2812onESP32

web controlled LED strip

Language:C++License:MITStargazers:0Issues:1Issues:0

WS2812onRpPico

WS2812 LED control on Raspberry Pico via bit-banged GPIO (any pin, any number)

Language:C++License:BSD-2-ClauseStargazers:0Issues:1Issues:0

XAxiDmaSgCtrl

Xilinx / AMD XAXI DMA scatter-gather mode control

Language:C++License:MITStargazers:0Issues:1Issues:0