marsohod4you

marsohod4you

Geek Repo

0

following

0

stars

Github PK Tool:Github PK Tool

marsohod4you's repositories

MBFTDI-SVF-Player

MBFTDI is application which allows to play SVF (Serial Vector Format) files and so execute JTAG commands on FPGA/CPLD chip. Special MBFDTI programmer device based on FTDI FT2232H chip is used. SVF files are created by Altera Quartus II or Xilinx ISE development tool.

Language:CLicense:GPL-2.0Stargazers:33Issues:7Issues:1
Language:CLicense:LGPL-2.1Stargazers:27Issues:2Issues:0

btc-fpga-miner

FPGA miner on Marsohod3 board with Altera/Intel MAX10 FPGA chip, 50K LEs

FpgaTDC

Project: Precise Measure of time delays in FPGA

Amber-Marsohod2

Port of Amber ARM Core project to Marsohod2 platform

Language:VerilogStargazers:12Issues:4Issues:0

M02mini

FPGA projects for M02mini MAX10 2K board

Marsohod3GW

Experiments with Marsohod3GW board with Gowin FPGA chip

Language:VerilogStargazers:7Issues:2Issues:0

UsbHost

Simple FIFO-based USB Host Controller

Language:VerilogStargazers:6Issues:3Issues:0

Led-control-WS2812B

FPGA controls tape of intellectual LEDs based on WS2812B chip

Language:VerilogStargazers:4Issues:2Issues:0

m2rpi-dpi2hdmi-display

Use M2rpi FPGA board attached to Raspberry Pi3 as DPI-to-HDMI convertor. This allows to attach second HDMI monitor to RPI3 with resolution 1280x720

Language:VerilogLicense:CC0-1.0Stargazers:4Issues:3Issues:0

DE10_Standard_GHRD_w_FIFO

Add LoopbackFIFO IP core to DE10-Standard_GHRD FPGA project

Language:VerilogStargazers:2Issues:2Issues:0

hdmi_leds_seg7

FPGA project which implements "virtual LEDs" and "virtual 7-segment indicator", displaying them on HDMI output of Marsohod3 board.

Language:VerilogStargazers:2Issues:2Issues:0

MCY112

MCY112 FPGA board

Language:VerilogLicense:ISCStargazers:2Issues:3Issues:0

mipsfpga-plus

MIPSfpga+ allows loading programs via UART and has a switchable clock

Language:VerilogStargazers:2Issues:2Issues:0

fpga-sdk-prj

FPGA-based SDK projects for SCRx cores

Language:VerilogLicense:NOASSERTIONStargazers:1Issues:1Issues:0

fpga_simple_tty

Simple VGA text display which receives bytes from serial port and displays them. Understands CR ( 0x0D ) with scroll and TAB ( 0x09 ).

Language:VerilogStargazers:1Issues:2Issues:0

libforth

libforth: A small Forth interpreter that can be used as a library written in c99

Language:ForthLicense:MITStargazers:1Issues:1Issues:0
Language:VerilogStargazers:1Issues:2Issues:0

m2rpi_first

First FPGA project for Marsohod2RPI board, which is connected to Raspberry Pi3 via GPIO socket

Language:VerilogStargazers:1Issues:2Issues:0

MA3128

Altera CPLD MAX EPM3128TC100 hat board for Raspberry Pi3 / Pi4

Language:VerilogLicense:GPL-3.0Stargazers:1Issues:2Issues:0

marsohod2bis

This repo is for Cyclone IV FPGA based board marsohod2bis.Repo will contain several simple Quartus Prime Lite Edition FPGA projects.

Language:VerilogStargazers:1Issues:3Issues:0

scr1

SCR1 is a high-quality open-source RISC-V MCU core in Verilog

Language:SystemVerilogLicense:NOASSERTIONStargazers:1Issues:1Issues:0

WS2812B-FPGA-Emulation-

Lets try to emulate RGB LED chip WS2812B in FPGA, Marsohod, Altera MAX II CPLD

Language:VerilogStargazers:1Issues:2Issues:0

basics-graphics-music

FPGA exercise for beginners

Language:SystemVerilogLicense:NOASSERTIONStargazers:0Issues:0Issues:0

MCY316

FPGA developer kit based on Altera Cyclone III

Language:VerilogLicense:ISCStargazers:0Issues:1Issues:0

sc-bl

Syntacore first stage bootloader

Language:CStargazers:0Issues:1Issues:0

scr1-sdk

open-source SDKs for the SCR1 core

Language:CLicense:NOASSERTIONStargazers:0Issues:1Issues:0

zephyr

Primary GIT Repository for the Zephyr Project

Language:CLicense:Apache-2.0Stargazers:0Issues:1Issues:0