Leo's repositories

DEF

Mirror of Cadence's DEF parser library (https://openeda.si2.org/projects/lefdefnew/)

Language:C++License:NOASSERTIONStargazers:1Issues:2Issues:0

LEF

Mirror of Cadence's LEF parser library (https://openeda.si2.org/projects/lefdefnew/)

Language:C++License:NOASSERTIONStargazers:1Issues:2Issues:0

lef-parser

Parser for LEF library files

Language:PythonStargazers:1Issues:2Issues:0

ahkab

Automatically exported from code.google.com/p/ahkab

Language:PythonLicense:GPL-2.0Stargazers:0Issues:1Issues:6

DEF-Viewer

Parser and Viewer for CadenceĀ® Library Exchange Format (LEF) and Design Exchange Format (DEF) integrated circuit (IC) description languages.

Language:JavaScriptStargazers:0Issues:2Issues:0

dotfiles

Home, sweet home. Exactly the way I want it.

Language:Vim ScriptLicense:MITStargazers:0Issues:2Issues:0

dump_oas_gds2

An OASIS and GDS2 (chip layout format) binary dump tool for debugging

Language:C++License:GPL-2.0Stargazers:0Issues:2Issues:0

gds2py

A fast python module for writing GDSII files.

Language:C++Stargazers:0Issues:2Issues:0

libertyParser

Mirror of Synopsys's Liberty parser library

Language:CLicense:NOASSERTIONStargazers:0Issues:2Issues:0

netlistparser

Automatically exported from code.google.com/p/netlistparser

Language:C++Stargazers:0Issues:1Issues:0

Parser-SPEF

A Fast C++ Header-only Parser for Standard Parasitic Exchange Format (SPEF).

Language:C++License:MITStargazers:0Issues:1Issues:0

resistorMath

c++ program that calculates the equivalent resistorvalue for a circuit (composed solely of resistors)

Language:C++Stargazers:0Issues:1Issues:0

ruby-gdsii

GDSII reader and writer with both high-level (easier to use) and low-level (faster performance) methods.

Language:RubyLicense:MITStargazers:0Issues:2Issues:0

simple-sat

Simple recursive and iterative SAT solver written in Python.

Language:PythonLicense:MITStargazers:0Issues:2Issues:0

wsnamelet

Automatically exported from code.google.com/p/wsnamelet

Language:PerlLicense:GPL-2.0Stargazers:0Issues:1Issues:0