Kevin Yuan's repositories

1on1-questions

Mega list of 1 on 1 meeting questions compiled from a variety to sources

Language:JavaScriptLicense:MITStargazers:1Issues:0Issues:0

opae-sdk

Open Programmable Acceleration Engine

Language:VerilogLicense:NOASSERTIONStargazers:1Issues:1Issues:0

5G_Mobile_Network_A_System_Approach

5G Mobile Networks - A System Approach

Language:PythonLicense:NOASSERTIONStargazers:0Issues:0Issues:0

AI-Chip

A list of ICs and IPs for AI, Machine Learning and Deep Learning.

Language:PHPStargazers:0Issues:0Issues:0

cocotb

Coroutine Co-simulation Test Bench

Language:PythonLicense:NOASSERTIONStargazers:0Issues:1Issues:0

ovs

Open vSwitch

Language:CLicense:Apache-2.0Stargazers:0Issues:0Issues:0

pydgin

A (Py)thon (D)SL for (G)enerating (In)struction set simulators.

Language:PythonLicense:BSD-3-ClauseStargazers:0Issues:0Issues:0

pydgin-parc-xcc

PARC cross-compiler to use with Pydgin

Language:CStargazers:0Issues:0Issues:0

virtio-hands-on

Scripts and automation for the Virtio Hands-On blogs

Language:ShellLicense:GPL-3.0Stargazers:0Issues:0Issues:0

corundum

Open source, high performance, FPGA-based NIC

Language:VerilogLicense:NOASSERTIONStargazers:0Issues:0Issues:0

dpdk

Data Plane Development Kit

Language:CStargazers:0Issues:1Issues:0

fft

synthesizable FFT IP block for FPGA designs

Language:VHDLLicense:NOASSERTIONStargazers:0Issues:0Issues:0

gem5-gddr6

Added GDDR6 model.

Language:C++License:BSD-3-ClauseStargazers:0Issues:0Issues:0

GeminiProChat

Minimal web UI for GeminiPro.

License:MITStargazers:0Issues:0Issues:0

intel-fpga-bbb

Basic Building Blocks (BBB) for OPAE-managed Intel FPGAs

Language:SystemVerilogLicense:NOASSERTIONStargazers:0Issues:1Issues:0

Microsoft-Activation-Scripts

A Windows and Office activator using HWID / Ohook / KMS38 / Online KMS activation methods, with a focus on open-source code and fewer antivirus detections.

License:GPL-3.0Stargazers:0Issues:0Issues:0

mininet

Emulator for rapid prototyping of Software Defined Networks

Language:PythonLicense:NOASSERTIONStargazers:0Issues:0Issues:0
Language:PythonStargazers:0Issues:0Issues:0

nvdla_hw

NVDLA Open Source Hardware with Achronix Speedster7t1500 Implmentation

Language:VerilogLicense:NOASSERTIONStargazers:0Issues:0Issues:0
Language:TypeScriptStargazers:0Issues:0Issues:0

plctlab_llvm-project

PLCT实验室的 RISC-V V Spec 实现,基于llvm/llvm-project,rkruppe/rvv-llvm 和 https://repo.hca.bsc.es/gitlab/rferrer/llvm-epi-0.8

Language:C++Stargazers:0Issues:0Issues:0

pygears

HW Design: A Functional Approach

License:MITStargazers:0Issues:0Issues:0

pymtl3

Pymtl 3 (Mamba), an open-source Python-based hardware generation, simulation, and verification framework

Language:PythonLicense:BSD-3-ClauseStargazers:0Issues:0Issues:0

qemu-fpga

A platform for emulating Virtio devices with FPGAs

Language:SystemVerilogLicense:BSD-3-ClauseStargazers:0Issues:0Issues:0

sby

SymbiYosys (sby) -- Front-end for Yosys-based formal verification flows

License:NOASSERTIONStargazers:0Issues:0Issues:0

snake_game

Snake game :)

Stargazers:0Issues:0Issues:0

spatz

Spatz is a compact RISC-V-based vector processor meant for high-performance, small computing clusters.

Language:CLicense:Apache-2.0Stargazers:0Issues:0Issues:0

style-guides

lowRISC Style Guides

License:CC-BY-4.0Stargazers:0Issues:0Issues:0

tvm

Open deep learning compiler stack for cpu, gpu and specialized accelerators

Language:PythonLicense:Apache-2.0Stargazers:0Issues:0Issues:0

yosys

Yosys Open SYnthesis Suite

Language:C++License:ISCStargazers:0Issues:0Issues:0