Iztok Jeras (jeras)

jeras

Geek Repo

Company:Cosylab d. d.

Location:Ljubljana, Slovenia

Home Page:http://rattus.info

Github PK Tool:Github PK Tool

Iztok Jeras's repositories

rp32

RISC-V processor with CPI=1 (every single instruction executed in a single clock cycle).

Language:SystemVerilogLicense:Apache-2.0Stargazers:10Issues:3Issues:4

SystemC-UVM

UVM-SystemC Library

Language:C++License:Apache-2.0Stargazers:9Issues:2Issues:0

sockit_spi

SocKit SPI (3-wire, dual, quad) master

Language:VerilogStargazers:5Issues:3Issues:0

riscv_asm_sv

RISC-V assembler/dis-assembler written in SystemVerilog

Language:SystemVerilogStargazers:4Issues:3Issues:0

SystemC-Verification

SystemC Verification Library (SCV)

Language:CLicense:Apache-2.0Stargazers:4Issues:2Issues:0

vivado_simulator

Evaluation of the Xilinx Vivado simulator

Language:SystemVerilogStargazers:4Issues:3Issues:0

ADAMS

all digital PLL

Language:SystemVerilogStargazers:3Issues:2Issues:0

TCB

Tightly Coupled Bus, low complexity, high performance system bus.

Language:SystemVerilogLicense:Apache-2.0Stargazers:1Issues:1Issues:0

cellular-automata-sage-toolkit

Automatically exported from code.google.com/p/cellular-automata-sage-toolkit

Language:Jupyter NotebookStargazers:0Issues:2Issues:0
Language:MakefileLicense:GPL-3.0Stargazers:0Issues:0Issues:0

fpga_pio

An attempt to recreate the RP2040 PIO in an FPGA

Language:VerilogStargazers:0Issues:0Issues:0

HDL-CRC

CRC implementation in HDL languages (VHDL, SystemVerilog)

License:Apache-2.0Stargazers:0Issues:1Issues:0
Language:SystemVerilogLicense:NOASSERTIONStargazers:0Issues:1Issues:0

learn-fpga

Learning FPGA, yosys, nextpnr, and RISC-V

Language:C++License:BSD-3-ClauseStargazers:0Issues:0Issues:0

Modern-Computer-Architecture-and-Organization-Second-Edition

Modern Computer Architecture and Organization – Second Edition, Published by Packt

Language:AssemblyLicense:MITStargazers:0Issues:0Issues:0

openlane2

The next generation of OpenLane, rewritten from scratch with a modular architecture

Language:PythonLicense:Apache-2.0Stargazers:0Issues:0Issues:0

PeakRDL-regblock

Generate SystemVerilog RTL that implements a register block from compiled SystemRDL input.

Language:PythonLicense:GPL-3.0Stargazers:0Issues:0Issues:0
Language:PythonLicense:BSD-3-ClauseStargazers:0Issues:0Issues:0

riscv-isa-manual

RISC-V Instruction Set Manual

Language:TeXLicense:CC-BY-4.0Stargazers:0Issues:1Issues:0

riscv-isa-sim

Spike, a RISC-V ISA Simulator

Language:CLicense:NOASSERTIONStargazers:0Issues:2Issues:0

sockit-stream

HDL streaming protocol library

License:Apache-2.0Stargazers:0Issues:1Issues:0

SPH0641LU

The Converter board of Kicad Data for SPH0641LU

Stargazers:0Issues:1Issues:0

sv_fixed_point

SystemVerilog fixed point library

License:Apache-2.0Stargazers:0Issues:1Issues:0

synthesis-optimizations

Observing and optimizing synthesis of common bit manipulation operations for FPGA and ASIC

Language:SystemVerilogStargazers:0Issues:1Issues:0

SystemC

SystemC HDL library for C++

Language:C++License:Apache-2.0Stargazers:0Issues:2Issues:0
Language:C++License:Apache-2.0Stargazers:0Issues:0Issues:0

UHDM-tests

Test for UHDM SystemVerilog plugin for yosys.

Language:SystemVerilogLicense:Apache-2.0Stargazers:0Issues:1Issues:0

ultrasound_tof

Ultrasonic TOF (Time of Flight) measurement or distance measurement

Language:Jupyter NotebookLicense:Apache-2.0Stargazers:0Issues:1Issues:0

UVVM

UVVM (Universal VHDL Verification Methodology) is a free and Open Source Methodology and Library for very efficient VHDL verification of FPGA and ASIC – resulting also in significant quality improvement. Community forum: https://forum.uvvm.org/ UVVM.org: https://uvvm.org/

Language:VHDLLicense:Apache-2.0Stargazers:0Issues:1Issues:0

verilator

Verilator open-source SystemVerilog simulator and lint system

Language:C++License:LGPL-3.0Stargazers:0Issues:0Issues:0