hutch31 / vpi_pcap_dump

Dump packets from Verilog to a pcap file using VPI calls from simulator

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

VPI Pcap Library

The VPI Pcap library provides a set of Verilog VPI calls which can be used to capture packets from a Verilog simulation and write them to a pcap format file, which can then be read in to Wireshark or other packet analysis tools.

This library is dependent on the “pcap” library used by tcpdump and wireshark for their packet storage and description. You can install this by doing:

yum install libpcap-devel

About

Dump packets from Verilog to a pcap file using VPI calls from simulator


Languages

Language:C 50.9%Language:C++ 31.8%Language:Verilog 10.6%Language:SystemVerilog 4.5%Language:Makefile 1.7%Language:Shell 0.7%