Hipólito Guzmán-Miranda (hipolitoguzman)

hipolitoguzman

Geek Repo

Company:Universidad de Sevilla

Location:Sevilla, Spain

Home Page:hipolitoguzman.net

Github PK Tool:Github PK Tool

Hipólito Guzmán-Miranda's repositories

triplelogic

Package to perform circuit hardening by changing datatypes of VHDL objects

Language:VHDLLicense:LGPL-3.0Stargazers:5Issues:2Issues:0

fosshdl-dist

Scripts to compile multiple FOSS hardware/FPGA tools. Work in progress.

Language:MakefileLicense:GPL-3.0Stargazers:1Issues:1Issues:1

edalize

An abstraction library for interfacing EDA tools

Language:PythonLicense:BSD-2-ClauseStargazers:0Issues:0Issues:0

ghdl

VHDL 2008/93/87 simulator

Language:VHDLLicense:GPL-2.0Stargazers:0Issues:0Issues:0

neorv32

:desktop_computer: A size-optimized, customizable full-scale 32-bit RISC-V soft-core CPU and microcontroller-like SoC written in platform-independent VHDL.

Language:VHDLLicense:BSD-3-ClauseStargazers:0Issues:0Issues:0

OSVVM_UART

OSVVM UART Verification Components. Uart Transmitter with error injection for parity, stop, and break errors. UART Receiver verification component with error handling for parity, stop, and break errors.

Language:VHDLLicense:NOASSERTIONStargazers:0Issues:0Issues:0

riscv-gcc-prebuilt

📦 Prebuilt RISC-V GCC toolchains for x64 Linux.

Language:ShellLicense:GPL-2.0Stargazers:0Issues:0Issues:0

virtualboard

Virtual FPGA board cosimulated with cocotb + pygame + VHDL

License:GPL-3.0Stargazers:0Issues:1Issues:0

vunit

VUnit is a unit testing framework for VHDL/SystemVerilog

Language:VHDLLicense:NOASSERTIONStargazers:0Issues:0Issues:0