HDL Util (hdl-util)

HDL Util

hdl-util

Geek Repo

Various MIT/Apache 2.0 licensed IP for FPGAs

Github PK Tool:Github PK Tool

HDL Util's repositories

hdmi

Send video/audio over HDMI on an FPGA

Language:SystemVerilogLicense:NOASSERTIONStargazers:1025Issues:43Issues:31

sdram-controller

Generic FPGA SDRAM controller, originally made for AS4C4M16SA

Language:VerilogLicense:NOASSERTIONStargazers:76Issues:8Issues:0

mipi-demo

MIPI CSI-2 + MIPI CCS Demo

Language:VerilogLicense:NOASSERTIONStargazers:62Issues:8Issues:3

mipi-csi-2

Capture images/video from a Raspberry Pi Camera (MIPI CSI-2) with an FPGA

Language:SystemVerilogLicense:NOASSERTIONStargazers:59Issues:7Issues:0

hdmi-demo

Demo of hdmi on at 720p with VGA-compatible text mode and sound

Language:VerilogLicense:NOASSERTIONStargazers:24Issues:6Issues:5

mipi-ccs

Control a MIPI Camera over I2C

Language:SystemVerilogLicense:NOASSERTIONStargazers:21Issues:6Issues:0

i2c

Fully featured implementation of Inter-IC (I2C) bus master for FPGAs

Language:SystemVerilogLicense:NOASSERTIONStargazers:18Issues:7Issues:0

vga-text-mode

VGA-compatible text mode functionality

Language:VerilogLicense:NOASSERTIONStargazers:12Issues:5Issues:0

image-processing

SystemVerilog code for image processing tasks like demosaicing

Language:SystemVerilogLicense:NOASSERTIONStargazers:9Issues:3Issues:0

sound

Various sound waves and audio mixing capabilities

Language:SystemVerilogLicense:NOASSERTIONStargazers:6Issues:4Issues:0

clock-domain-crossing

Utilities for clock-domain crossing with an FPGA

Language:SystemVerilogLicense:NOASSERTIONStargazers:5Issues:3Issues:0

i2c-demo

Demo of i2c

Language:VerilogLicense:NOASSERTIONStargazers:3Issues:4Issues:0

gray-code

Generate a gray code of arbitrary width in SystemVerilog

Language:SystemVerilogLicense:NOASSERTIONStargazers:2Issues:3Issues:1

rand

Random number generators such as LFSRs, LHCAs

Language:SystemVerilogLicense:NOASSERTIONStargazers:2Issues:3Issues:0
Language:SystemVerilogLicense:NOASSERTIONStargazers:1Issues:3Issues:0