posedgeyx (gyx3598)

gyx3598

Geek Repo

Location:China

Github PK Tool:Github PK Tool

posedgeyx's repositories

uvm

优秀的UVM项目整理

License:Apache-2.0Stargazers:2Issues:1Issues:0
Language:CStargazers:1Issues:0Issues:0

80x86

80186 compatible SystemVerilog CPU core and FPGA reference design

Language:C++License:GPL-3.0Stargazers:0Issues:0Issues:0

AHB-lite-Verification-in-SystemVerilog

EE-599f SoC SystemVerilog Final Project

Language:SystemVerilogStargazers:0Issues:0Issues:0
Stargazers:0Issues:0Issues:0

cocotb

cocotb, a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python

License:NOASSERTIONStargazers:0Issues:0Issues:0

cocotb-bus

Pre-packaged testbenching tools and reusable bus interfaces for cocotb

License:NOASSERTIONStargazers:0Issues:0Issues:0

cocotb-coverage

Functional Coverage and Constrained Randomization Extensions for Cocotb

License:BSD-2-ClauseStargazers:0Issues:0Issues:0

core_ftdi_bridge

FTDI FT245 Style Synchronous/Asynchronous FIFO Bridge

License:GPL-2.0Stargazers:0Issues:0Issues:0

cores

Various HDL (Verilog) IP Cores

Stargazers:0Issues:0Issues:0
Language:CStargazers:0Issues:0Issues:0

FPGA-DAC-R2R-PWM

FPGA-based 14bit DAC with resistance network and PWM.

Stargazers:0Issues:0Issues:0

FPGA-ftdi245fifo

FPGA-based USB fast communication using FT232H/FT600 chip.

Stargazers:0Issues:0Issues:0
Language:SystemVerilogStargazers:0Issues:0Issues:0
Language:CStargazers:0Issues:1Issues:0

gen_amba-1

AMBA bus generator including AXI, AHB, and APB

Stargazers:0Issues:0Issues:0

ibex

Ibex is a small 32 bit RISC-V CPU core, previously known as zero-riscy.

License:Apache-2.0Stargazers:0Issues:0Issues:0

openwifi-hw

open-source IEEE 802.11 WiFi baseband FPGA (chip) design: FPGA, hardware

License:AGPL-3.0Stargazers:0Issues:0Issues:0

RiscSoC

RiscSoC 是一个芯片集成项目,包含了 Cortex-M0、Cortex-M3、MIPS、RISC-V、4-BIT 等内核的 SoC 集成,部分 SoC 使用的自己设计的内核

License:Apache-2.0Stargazers:0Issues:0Issues:0
Language:VHDLStargazers:0Issues:0Issues:0

SerialChart

一个很好用的串口示波器。

Stargazers:0Issues:0Issues:0

sv-tests

Test suite designed to check compliance with the SystemVerilog standard.

License:ISCStargazers:0Issues:0Issues:0

USTC-RVSoC

FPGA-based RISC-V CPU+SoC.

Stargazers:0Issues:0Issues:0

uvm-python

UVM 1.2 port to Python

Language:PythonLicense:Apache-2.0Stargazers:0Issues:0Issues:0

uvm_verification

Examples with UVM

Language:SystemVerilogStargazers:0Issues:0Issues:0
Language:SystemVerilogStargazers:0Issues:1Issues:0

uvmprimer-1

Contains the code examples from The UVM Primer Book sorted by chapters.

Language:SystemVerilogStargazers:0Issues:0Issues:0

UVVM

UVVM (Universal VHDL Verification Methodology) is a free and Open Source Methodology and Library for very efficient VHDL verification of FPGA and ASIC – resulting also in significant quality improvement. Community forum: https://forum.uvvm.org/ UVVM.org: https://uvvm.org/

License:Apache-2.0Stargazers:0Issues:0Issues:0

vnote

A pleasant note-taking platform.

License:LGPL-3.0Stargazers:0Issues:0Issues:0