F4PGA (f4pga)

F4PGA

f4pga

Geek Repo

Open source FPGA toolchain

Home Page:f4pga.org

Twitter:@f4pga

Github PK Tool:Github PK Tool

F4PGA's repositories

prjxray

Documenting the Xilinx 7-series bit-stream format.

Language:PythonLicense:ISCStargazers:742Issues:61Issues:477

f4pga-arch-defs

FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.

Language:Jupyter NotebookLicense:ISCStargazers:249Issues:23Issues:630

ideas

Random ideas and interesting ideas for things we hope to eventually do.

prjuray

Documenting the Xilinx Ultrascale, Ultrascale+ and UltraScale MPSoC series bit-stream format.

Language:SystemVerilogLicense:Apache-2.0Stargazers:68Issues:19Issues:21

prjxray-db

Project X-Ray Database: XC7 Series

Language:ShellLicense:CC0-1.0Stargazers:61Issues:29Issues:0

prjtrellis

Documenting the Lattice ECP5 bit-stream format.

Language:PythonLicense:NOASSERTIONStargazers:47Issues:4Issues:0

icestorm

Project IceStorm - Lattice iCE40 FPGAs Bitstream Documentaion (Reverse Engineered)

Language:PythonLicense:ISCStargazers:32Issues:5Issues:0

f4pga

Documentation for F4PGA

Language:PythonLicense:Apache-2.0Stargazers:21Issues:4Issues:0

symbiflow-xc7z-automatic-tester

Tool for automatically testing FPGA designs using a Zynq Series 7 board.

Language:PythonLicense:ISCStargazers:6Issues:11Issues:3
Language:MakefileLicense:CC0-1.0Stargazers:4Issues:9Issues:0
Language:SCSSLicense:Apache-2.0Stargazers:3Issues:4Issues:3

sphinx_f4pga_theme

A material-based, responsive theme inspired by mkdocs-material

Language:CSSLicense:NOASSERTIONStargazers:3Issues:2Issues:7

edalize

An abstraction library for interfacing EDA tools

Language:PythonLicense:BSD-2-ClauseStargazers:2Issues:1Issues:2

actions

GitHub Actions to be reused in CI workflows

Language:PythonLicense:Apache-2.0Stargazers:1Issues:12Issues:13
License:Apache-2.0Stargazers:0Issues:5Issues:0