ezchi / systemc

SystemC mirror

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

                SystemC Class Library (Rel. 2.3.3)
                ==================================

This is the release of the SystemC 2.3.3 Class Library.
This release includes TLM 2.0.5 (Transaction Level Modeling) code,
as described in the IEEE 1666-2011 Language Reference Manual.

-------------------------------------------------------------------------------
                IMPORTANT

1. This is the release of SystemC 2.3.3. This release contains bug fixes
   for SystemC 2.3.2 (and earlier) as well as adding some minor features.

2. This release is supported on the following platform combinations for
   which it has been tested:

    o 64-bit Linux (x86_64)
      (RedHat Enterprise 6; SuSE Enterprise Linux 11; Debian 9)
      - GNU C++ compiler versions gcc-4.2.2 through gcc-8.2.0
      - Clang C++ compiler versions clang-3.4 through clang-6.0
      - Intel C++ Compiler (ICC 15.0.0)

    o 64-bit Linux (x86_64) with 32-bit compiler (--host=i686-linux-gnu)
      (SuSE Enterprise Linux 11)
      - GNU C++ compiler versions gcc-4.2.2 through gcc-8.2.0
      - Intel C++ Compiler (ICC 15.0.0)

    o 64-bit Linux (aarch64)
      (Ubuntu 16.04)
      - GNU C++ compiler version gcc-4.5.0

    o 64-bit Mac OS X (x86_64)
      (10.12 Sierra)
      - Apple LLVM version 8.0 (clang-800.0.42.1)
      - GNU C++ compiler (MacPorts) versions gcc-4.9.0, gcc-5.4.0, gcc-6.3.0

    o Microsoft Windows
      (Windows Server 2008, Windows 10 Enterprise)
      - Microsoft Visual Studio 2010 (10.0) (Win32 and x64)
      - Microsoft Visual Studio 2013 (12.0) (Win32 and x64)
      - Microsoft Visual Studio 2015 (14.0) (Win32 and x64)
      - Microsoft Visual Studio 2017 (14.1) (Win32 and x64)

      Warning: The fixed-point datatypes are not working as defined on
               MSVC 2015/2017 (x64) in Release mode.  See RELEASENOTES.

    o Windows Server 2008 (WoW64), Msys 1.0.17(0.48/3/2)
      - MinGW-w64 GNU C++ compiler version 4.9.2 (x86_64)


  This release has not yet been tested or is known not to work as expected
  on the following formerly supported platforms:

    o GNU C++ compiler versions prior to 4.2.x (all platforms)
    o FreeBSD 9.0 or later (x86, x86_64) with GNU C++ compiler
    o HP-UX 11.00 or later with GNU C++ or HP C++ compiler
    o Sun/Oracle Solaris, with GNU C++ or Sun/Solaris Studio compiler
    o Mac OS X prior to 10.12 (Sierra) and/or on the x86, PowerPC architectures
    o Microsoft Visual C++ versions prior to 10.0 (2010)
    o Cygwin 1.7 or later (x86, x86_64) with GNU C++ compiler
    o Msys/MinGW32 GNU C++ compiler


3. (In)compatibility note

  While it is possible to build SystemC as a shared library (both on
  ELF-based systems and well as a DLL on Windows), there is no explicitly
  defined binary interface across different

    - SystemC implementations (e.g. from multiple vendors)
    - SystemC library versions
    - Compilers or compiler versions
    - Operating systems or OS versions

  Some differences might be caught at link time, while other incompatibilities
  could just sliently lead to undefined behavior during simulation.  Please
  carefully ensure a consistent build configuration, when integrating binary
  SystemC models built from separate sources.


-------------------------------------------------------------------------------

For details, see the separate RELEASENOTES file.


Licensing and Copyright

   See the separate LICENSE and NOTICE files to determine your rights
   and responsiblities for using SystemC.

User Documentation

   You can find documentation for this release in the docs directory.

Installation

   See the separate INSTALL file that provides system
   information and installation instructions.

Release Notes

   See the separate RELEASENOTES file that provides upto date
   information about this release of SystemC.

Additional information

   SystemC has a web site at

       http://www.accellera.org

   Discussion forum: http://forums.accellera.org/forum/9-systemc/

   You can post the bugs and suggestions of general interest to the forum.
   When reporting bugs please specify the following information
   (if applicable):

     1) SystemC version
     2) platform, compiler, flags
     3) description of the problem
     4) steps to reproduce the problem
     5) compile/runtime warnings and errors
     6) code sample, not more than 100 lines to demonstrate the problem

   Note: All bugs will only be tested against the latest publicly available
         version of the product.

   Note: All C++ compilers that SystemC supports have bugs of different
         degree of severity. We cannot fix those bugs. Please report them
         to the compiler vendor.

About

SystemC mirror

License:Apache License 2.0


Languages

Language:C++ 79.9%Language:Shell 7.4%Language:Makefile 4.9%Language:C 3.1%Language:Assembly 2.3%Language:CMake 1.5%Language:M4 0.8%Language:Awk 0.1%