Euripedes (euripedesrocha)

euripedesrocha

Geek Repo

Company:@espressif

Location:Czech Republic

Github PK Tool:Github PK Tool

Euripedes's starred repositories

open-logic

Open Logic VHDL Standard Library

Language:VHDLLicense:NOASSERTIONStargazers:84Issues:0Issues:0

bela-online-course

Assets and slides for the free YouTube course, C++ Real-time Audio Programming with Bela, released in Spring 2020.

Language:C++Stargazers:184Issues:0Issues:0

p1160

P1160 Add Test Polymorphic Memory Resource To Standard Library

Language:C++License:Apache-2.0Stargazers:34Issues:0Issues:0
Language:C++License:MITStargazers:4Issues:0Issues:0

compiler-explorer

Run compilers interactively from your web browser and interact with the assembly

Language:TypeScriptLicense:BSD-2-ClauseStargazers:15722Issues:0Issues:0

pipes

Pipelines for expressive code on collections in C++

Language:C++License:MITStargazers:792Issues:0Issues:0

fmit

Free Music Instrument Tuner (FMIT)

Language:C++License:GPL-2.0Stargazers:118Issues:0Issues:0

mini-rv32ima

A tiny C header-only risc-v emulator.

Language:CLicense:MITStargazers:1576Issues:0Issues:0

openFPGALoader

Universal utility for programming FPGA

Language:C++License:Apache-2.0Stargazers:1112Issues:0Issues:0

cpp

C++ Tip Of The Week

Language:PythonStargazers:1507Issues:0Issues:0

coc.nvim

Nodejs extension host for vim & neovim, load extensions like VSCode and host language servers.

Language:TypeScriptLicense:NOASSERTIONStargazers:24172Issues:0Issues:0

asio

Asio C++ Library

Language:C++Stargazers:4740Issues:0Issues:0

vidbo

Virtual Development Board

Language:CLicense:Apache-2.0Stargazers:56Issues:0Issues:0

templates

Document templates for open-source projects (README, CONTRIBUTING, GitHub templates)

Language:GroovyLicense:MITStargazers:383Issues:0Issues:0

neorv32

:desktop_computer: A small, customizable and extensible MCU-class 32-bit RISC-V soft-core CPU and microcontroller-like SoC written in platform-independent VHDL.

Language:VHDLLicense:BSD-3-ClauseStargazers:1506Issues:0Issues:0

vboard

Virtual development board for HDL design

Language:VHDLStargazers:38Issues:0Issues:0

papers

ISO/IEC JTC1 SC22 WG21 paper scheduling and management

Language:PerlStargazers:622Issues:0Issues:0

FreeRTOS_cpp11

Enable GCC C++ STL multitasking library in FreeRTOS projects

Language:CLicense:NOASSERTIONStargazers:98Issues:0Issues:0

HFSM2

High-Performance Hierarchical Finite State Machine Framework

Language:C++License:MITStargazers:457Issues:0Issues:0

Franzininho-WIFI-dev-edition

Versão da Franzininho para teste e validação do ESP32-S2

Language:HTMLStargazers:38Issues:0Issues:0

Compliance-Tests

Tests to evaluate the support of VHDL 2008 and VHDL 2019 features

Language:VHDLLicense:Apache-2.0Stargazers:25Issues:0Issues:0

litex-buildenv

An environment for building LiteX based FPGA designs. Makes it easy to get everything you need!

Language:PythonLicense:BSD-2-ClauseStargazers:211Issues:0Issues:0

compile-time-regular-expressions

Compile Time Regular Expression in C++

Language:C++License:Apache-2.0Stargazers:3231Issues:0Issues:0

ghdl-cosim

Documentation with code examples about interfacing VHDL with foreign languages and tools through GHDL

Language:CLicense:Apache-2.0Stargazers:45Issues:0Issues:0

stdgpu

stdgpu: Efficient STL-like Data Structures on the GPU

Language:C++License:Apache-2.0Stargazers:1128Issues:0Issues:0

news

VHDL related news.

Language:PythonStargazers:24Issues:0Issues:0
Language:SystemVerilogLicense:Apache-2.0Stargazers:179Issues:0Issues:0

aws-fpga

Official repository of the AWS EC2 FPGA Hardware and Software Development Kit

Language:VHDLLicense:NOASSERTIONStargazers:1497Issues:0Issues:0

opa

Open Processor Architecture

Language:VHDLLicense:GPL-3.0Stargazers:25Issues:0Issues:0

cocotb-test

Unit testing for cocotb

Language:PythonLicense:BSD-2-ClauseStargazers:136Issues:0Issues:0