Kevin Laeufer (ekiwi)

ekiwi

Geek Repo

Company:Cornell University

Location:Ithaca, NY

Home Page:kevinlaeufer.com

Twitter:@ElectronicKiwi

Github PK Tool:Github PK Tool


Organizations
roboterclubaachen

Kevin Laeufer's repositories

wellen

wellen: waveform datastructures in Rust. Fast VCD, FST and GHW parsing for waveform viewers.

Language:RustLicense:BSD-3-ClauseStargazers:38Issues:3Issues:15

rtl-repair

Fast Symbolic Repair of Hardware Design Code

Language:PythonStargazers:17Issues:4Issues:0

simulator-independent-coverage

Project Repo for the Simulator Independent Coverage Research

Language:C++Stargazers:16Issues:4Issues:0

fst-native

Native Rust implementation of the FST waveform format from GTKWave.

Language:RustLicense:BSD-3-ClauseStargazers:12Issues:3Issues:1

baa

BitVector and Array Arithmetic library

Language:RustLicense:BSD-3-ClauseStargazers:7Issues:2Issues:0

patronus

work in progress, playing around with btor2 in rust

Language:RustLicense:BSD-3-ClauseStargazers:5Issues:3Issues:2

chisel-sequences

sequence prototype

Language:ScalaLicense:BSD-2-ClauseStargazers:4Issues:2Issues:1

calyx

Intermediate Language (IL) for Hardware Accelerator Generators

Language:RustLicense:MITStargazers:1Issues:0Issues:0

essent

high-performance RTL simulator

Language:ScalaLicense:NOASSERTIONStargazers:1Issues:1Issues:0

firesim

FireSim: Easy-to-use, Scalable, FPGA-accelerated Cycle-accurate Hardware Simulation in the Cloud

Language:ScalaLicense:NOASSERTIONStargazers:1Issues:1Issues:0

firrtl2

UC Berkeley Copy of the FIRRTL Compiler

License:Apache-2.0Stargazers:1Issues:1Issues:0
Language:SystemVerilogStargazers:1Issues:1Issues:0

hwmcc20

Hardware Model Checking Competition 2020 Benchmarks and Results

Stargazers:1Issues:0Issues:0

ip-contributions

For contributions of Chisel IP to the chisel community.

Language:ScalaStargazers:1Issues:2Issues:0

aws-fpga-firesim

AWS Shell for FireSim

Language:VHDLLicense:NOASSERTIONStargazers:0Issues:1Issues:0

chipyard

An Agile RISC-V SoC Design Framework with in-order cores, out-of-order cores, accelerators, and more

Language:CLicense:BSD-3-ClauseStargazers:0Issues:1Issues:0
Language:ScalaLicense:UnlicenseStargazers:0Issues:1Issues:0

chisel-template

A template project for beginning new Chisel work

Language:ScalaLicense:UnlicenseStargazers:0Issues:1Issues:0

chisel-testers2

Repository for chisel3 testers2 open alpha

Language:ScalaStargazers:0Issues:3Issues:0

easy-smt

Easy SMT solver interaction

Language:RustLicense:Apache-2.0Stargazers:0Issues:1Issues:0
Language:ScalaLicense:UnlicenseStargazers:0Issues:1Issues:0

firrtl

Flexible Intermediate Representation for RTL

Language:ScalaLicense:Apache-2.0Stargazers:0Issues:3Issues:0

firrtl-spec

The specification for the FIRRTL language

Language:TeXStargazers:0Issues:1Issues:0

hwmcc19

Hardware Model Checking Competition 2019 Benchmark Data

Language:ShellStargazers:0Issues:0Issues:0

latency-insensitive-interface-combinators-in-chisel

Chisel implementation of Latency-Insensitive Interface Combinators. Based on: https://dl.acm.org/doi/10.1145/3575693.3575701

Language:ScalaStargazers:0Issues:2Issues:0

metalift

A program synthesis framework for verified lifting applications

Language:PythonStargazers:0Issues:1Issues:0

riscv-mini

Simple RISC-V 3-stage Pipeline in Chisel

Language:ScalaLicense:NOASSERTIONStargazers:0Issues:1Issues:0

tt02-tweezers

Submission template for TT02

Language:ScalaLicense:Apache-2.0Stargazers:0Issues:1Issues:0

verilog-coverage

Trying to implement simple coverage metrics for Verilog.

Language:PythonLicense:BSD-3-ClauseStargazers:0Issues:2Issues:0