e-dub's starred repositories

EasyBeam

Easy Application for Structural analYsis with BEAMs

Language:PythonLicense:GPL-3.0Stargazers:10Issues:0Issues:0

reliability

Reliability engineering toolkit for Python - https://reliability.readthedocs.io/en/latest/

Language:PythonLicense:LGPL-3.0Stargazers:324Issues:0Issues:0

tigl

The TiGL Geometry Library to process aircraft geometries in pre-design.

Language:C++License:Apache-2.0Stargazers:231Issues:0Issues:0

EXUDYN

Multibody Dynamics Simulation: Rigid and flexible multibody systems

Language:C++License:NOASSERTIONStargazers:163Issues:0Issues:0

KratosSalomePlugin

Plugin for the SALOME platform to integrate the open source finite element framework KratosMultiphysics

Language:PythonLicense:BSD-3-ClauseStargazers:9Issues:0Issues:0

kuka_experimental

Experimental packages for KUKA manipulators within ROS-Industrial (http://wiki.ros.org/kuka_experimental)

Language:C++License:Apache-2.0Stargazers:264Issues:0Issues:0

Mamdani-FIS---Manufacturing-Effort-Models

Manufacturing effort models based on Mamdani fuzzy inference system

Language:PythonLicense:GPL-3.0Stargazers:3Issues:0Issues:0

nusa

A Python library for structural analysis using the finite element method

Language:PythonLicense:MITStargazers:115Issues:0Issues:0

qd-cae-python

qd python library for CAE (currently mostly LS-Dyna)

Language:CLicense:NOASSERTIONStargazers:133Issues:0Issues:0

ubuntu-cheatsheet

Ubuntu Terminal Cheatsheet

Language:HTMLLicense:MITStargazers:278Issues:0Issues:0

Examples

All benchmarks, examples and applications cases to be run by Kratos. Note that unit tests are in Kratos repository and NOT here

Language:PythonStargazers:42Issues:0Issues:0
Language:HTMLLicense:BSD-3-ClauseStargazers:20Issues:0Issues:0

GiDInterface

The graphical user interface of Kratos for GiD. Featuring CFD, CSM, DEM, PFEM, etc

Language:PythonLicense:NOASSERTIONStargazers:31Issues:0Issues:0

Kratos

Kratos Multiphysics (A.K.A Kratos) is a framework for building parallel multi-disciplinary simulation software. Modularity, extensibility and HPC are the main objectives. Kratos has BSD license and is written in C++ with extensive Python interface.

Language:C++License:NOASSERTIONStargazers:991Issues:0Issues:0