doudouli12's repositories

AHB-SRAMC

IC Verification & SV Demo

Language:VerilogStargazers:0Issues:0Issues:0

AHB-to-APB-Bridge-Verification

Maven Silicon project - AHB-to-APB Bridge Verification using UVM Methodology.

Language:SystemVerilogStargazers:0Issues:0Issues:0

ahb2apb-bridge

An uvm verification env for ahb2apb bridge

Language:SystemVerilogStargazers:0Issues:0Issues:0

ALU-Verification-Environment

Development UVM Verification Environment for ALU DUT

Language:SystemVerilogStargazers:0Issues:0Issues:0

apb_vip

Verification IP for APB protocol

Language:SystemVerilogLicense:Apache-2.0Stargazers:0Issues:0Issues:0

axi

AXI SystemVerilog synthesizable IP modules and verification infrastructure for high-performance on-chip communication

Language:SystemVerilogLicense:NOASSERTIONStargazers:0Issues:0Issues:0

axi4_vip

Verification IP for APB protocol

Language:SystemVerilogLicense:Apache-2.0Stargazers:0Issues:0Issues:0

core-v-verif

Functional verification project for the CORE-V family of RISC-V cores.

Language:AssemblyLicense:NOASSERTIONStargazers:0Issues:0Issues:0

cpu8080-alu

UVM code to verify ALU

Language:SystemVerilogStargazers:0Issues:0Issues:0

FIFO_verification-

fifo verification IP using system verilog

Language:SystemVerilogStargazers:0Issues:0Issues:0

i2c_vip

Verification IP for I2C protocol

Language:SystemVerilogLicense:Apache-2.0Stargazers:0Issues:0Issues:0

LM-RISCV-DV

An Open-Source Design and Verification Environment for RISC-V

Language:SystemVerilogLicense:Apache-2.0Stargazers:0Issues:0Issues:0

MPSoC-DV

MPSoC verified with UVM/OSVVM/FV

Language:SystemVerilogStargazers:0Issues:0Issues:0

opentitan

OpenTitan: Open source silicon root of trust

Language:SystemVerilogLicense:Apache-2.0Stargazers:0Issues:0Issues:0

PoC

IP Core Library - Published and maintained by the Chair for VLSI Design, Diagnostics and Architecture, Faculty of Computer Science, Technische Universität Dresden, Germany

Language:VHDLLicense:NOASSERTIONStargazers:0Issues:0Issues:0

Python

My Python Examples

License:MITStargazers:0Issues:0Issues:0

Python-100-Days

Python - 100天从新手到大师

Stargazers:0Issues:0Issues:0

Python_1

Python3编写的各种大小程序,包含从零学Python系列、12306抢票、省市区地址库以及系列网站爬虫等学习源码

Stargazers:0Issues:0Issues:0

riscv

RISC-V CPU Core (RV32IM)

Language:VerilogLicense:BSD-3-ClauseStargazers:0Issues:0Issues:0

riscv-formal

RISC-V Formal Verification Framework

Language:VerilogLicense:ISCStargazers:0Issues:0Issues:0

riscv-vip

For pre-silicon developers of RISC-V systems, riscv-vip is a SystemVerilog project that helps with pre-si verification and debug

Language:SystemVerilogLicense:Apache-2.0Stargazers:0Issues:0Issues:0
Language:VerilogStargazers:0Issues:0Issues:0

SoC-DV

System on Chip verified with UVM/OSVVM/FV

Language:SystemVerilogStargazers:0Issues:0Issues:0

timer_verification_ip

This repository contains a Verification IP for the timer. This VIP tests the timer by applying constrained random stimulus. Sequences of transactions reset, configure the timer, and perform read & write operations on the respective registers of the timer.

Language:SystemVerilogLicense:Apache-2.0Stargazers:0Issues:0Issues:0

uart2bustestbench

UVM Verification IP to uart2bus IP.

Language:SystemVerilogLicense:NOASSERTIONStargazers:0Issues:0Issues:0

uvm_tb_cross_bar

SystemVerilog UVM testbench example

Language:SystemVerilogLicense:MITStargazers:0Issues:0Issues:0
Language:SystemVerilogStargazers:0Issues:0Issues:0

verilog-axi

Verilog AXI components for FPGA implementation

Language:VerilogLicense:MITStargazers:0Issues:0Issues:0

wbuart32

A simple, basic, formally verified UART controller

License:GPL-3.0Stargazers:0Issues:0Issues:0

wujian100_open

IC design and development should be faster,simpler and more reliable

License:MITStargazers:0Issues:0Issues:0