chuckb / ghdl-interactive-sim

Interactive GHDL simulation of a VHDL adder using Python, Cocotb, and pygame

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

chuckb/ghdl-interactive-sim Stargazers