chipsalliance / UHDM

Universal Hardware Data Model. A complete modeling of the IEEE SystemVerilog Object Model with VPI Interface, Elaborator, Serialization, Visitor and Listener. Used as a compiled interchange format in between SystemVerilog tools. Compiles on Linux gcc, Windows msys2-gcc & msvc, OsX

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

Run some type of function will meet error

hello-eternity opened this issue · comments

Just as the title, when the function has the "output" local variable, It will meet error in uhdm. The error is like this:
截屏2022-01-11 下午6 42 33
the code is like this
截屏2022-01-11 下午6 46 54
The function definition is this
截屏2022-01-11 下午6 47 21 1

When I expand this function where I use this function. The error disappear.

Please add the example in text, not with a picture, I am not going to retype what is in the picture, I hope you understand :-)
Use

text section 

Also the error is not coming from Surelog/UHDM, what executable are you running?
Same thing, please put the error and a bit more messages above the error in text, not picture.

This error is filed in the wrong repo.

Sorry, I didn't have the experience of submitting before, I will abide by the etiquette of submitting later, thank you for your teaching

No problem, welcome to Github and UHDM!