weileng's starred repositories

Language:AssemblyLicense:Apache-2.0Stargazers:487Issues:0Issues:0

AISystem

AISystem 主要是指AI系统,包括AI芯片、AI编译器、AI推理和训练框架等AI全栈底层技术

Language:Jupyter NotebookLicense:Apache-2.0Stargazers:9820Issues:0Issues:0

start-ai-compiler

Start AI Compiler

Language:PythonLicense:MITStargazers:33Issues:0Issues:0

swin-transformer-cpp

Swin Transformer C++ Implementation

Language:C++Stargazers:53Issues:0Issues:0

C-Programing-Language

浙江大学《C语言程序设计》第四版——何钦铭 颜晖(教材源码、PTA练习题、实验、教师用书、课件资源)

Language:CStargazers:8Issues:0Issues:0

csapp

Repos for learning csapp

Language:AssemblyStargazers:1Issues:0Issues:0

one-transformer

a tutorial for training a PyTorch transformer from scratch

Language:PythonLicense:MITStargazers:19Issues:0Issues:0

Bergamot

An exquisite superscalar RV32GC processor.

Language:ScalaLicense:Apache-2.0Stargazers:135Issues:0Issues:0

SpinalHDL

Scala based HDL

Language:ScalaLicense:NOASSERTIONStargazers:1588Issues:0Issues:0

yolov5-fpga-hardware-acceleration

网络训练、图像预处理以及部分hend功能是基于pc端实现的,只有主干网络部署在fpga上,片上资源无法支持整个网络所需资源,建议添加外部存储及DDR

Language:VerilogLicense:GPL-3.0Stargazers:48Issues:0Issues:0

Vitis-AI

Vitis AI is Xilinx’s development stack for AI inference on Xilinx hardware platforms, including both edge devices and Alveo cards.

Language:PythonLicense:Apache-2.0Stargazers:1421Issues:0Issues:0

CNN-FPGA

Implementation of CNN on ZYNQ FPGA to classify handwritten numbers using MNIST database

Language:VerilogStargazers:336Issues:0Issues:0

softmax

Verilog implementation of Softmax function

Language:VerilogLicense:Apache-2.0Stargazers:43Issues:0Issues:0
Language:VerilogStargazers:18Issues:0Issues:0

VexRiscv

A FPGA friendly 32 bit RISC-V CPU implementation

Language:AssemblyLicense:MITStargazers:2357Issues:0Issues:0

gpt_academic

为GPT/GLM等LLM大语言模型提供实用化交互接口,特别优化论文阅读/润色/写作体验,模块化设计,支持自定义快捷按钮&函数插件,支持Python和C++等项目剖析&自译解功能,PDF/LaTex论文翻译&总结功能,支持并行问询多种LLM模型,支持chatglm3等本地模型。接入通义千问, deepseekcoder, 讯飞星火, 文心一言, llama2, rwkv, claude2, moss等。

Language:PythonLicense:GPL-3.0Stargazers:62706Issues:0Issues:0

RISC-V-On-PYNQ

RISC-V Integration for PYNQ

Language:TclLicense:NOASSERTIONStargazers:163Issues:0Issues:0

PynqDocs

PYNQ学习资料

Stargazers:153Issues:0Issues:0

vivado-risc-v

Xilinx Vivado block designs for FPGA RISC-V SoC running Debian Linux distro

Language:TclStargazers:790Issues:0Issues:0

FreeRTOS-RISCV

An example to test srv32 RISC-V core on FreeRTOS

Language:MakefileLicense:GPL-3.0Stargazers:5Issues:0Issues:0

pynq_api

C API drivers for PYNQ FPGA board

Language:CLicense:BSD-3-ClauseStargazers:28Issues:0Issues:0

e200_opensource

The Ultra-Low Power RISC Core

License:Apache-2.0Stargazers:46Issues:0Issues:0

PYNQ

Python Productivity for ZYNQ

Language:Jupyter NotebookLicense:BSD-3-ClauseStargazers:1923Issues:0Issues:0

GLaDOS

status of GLaDOS

Stargazers:2442Issues:0Issues:0
Language:CLicense:NOASSERTIONStargazers:839Issues:0Issues:0
Language:Jupyter NotebookLicense:BSD-3-ClauseStargazers:388Issues:0Issues:0

rars

RARS -- RISC-V Assembler and Runtime Simulator

Language:JavaLicense:NOASSERTIONStargazers:1147Issues:0Issues:0

timeshaft

软工作业

Language:JavaStargazers:6Issues:0Issues:0