Radoslav Borisov (borisov-r)

borisov-r

Geek Repo

Location:Sofia, Bulgaria

Github PK Tool:Github PK Tool

Radoslav Borisov's repositories

RepRap

RepRap home made with Sasho and Ruslan

Language:C++Stargazers:3Issues:5Issues:0

myvim

My VIM settings for python editing

Language:VimLStargazers:2Issues:2Issues:0

rfAtmega128

Arduino with ATmega128RFa1, ftdi, and RPSMA connector

blender3Dgame

Attempt to make a 3D game with Blender 2.6

Language:PythonStargazers:1Issues:5Issues:0

EM3Dscanner

Simple yet powerfull electromagnetic scanner (EM3D)

InkjetPrinter

Inkjet printer modification for direct print on pcb.

myKicadLibs

My KiCAD libraries used in various projects.

nabec

nabec eu web site design and theme

SummerMicroelectronics

SummerMicroelectronics

ColumnCounter

Software for counting railway columns with GUI.

Language:PythonStargazers:0Issues:2Issues:0

D1_mini_Examples

WeMos D1 & D1 mini Arduino Examples

Language:C++Stargazers:0Issues:2Issues:0

gnucap

gnucap mirror

Language:C++License:GPL-3.0Stargazers:0Issues:2Issues:0

libLTE

Open source 3GPP LTE library

Language:CLicense:LGPL-3.0Stargazers:0Issues:2Issues:0

LockIt

An attempt to automate our hackerspace's door locking and unlocking mechanism.

Language:ArduinoStargazers:0Issues:2Issues:0

MusicBox

Analog circuits for generation of audio waves using capacitive, resistive and other sensors.

Language:PrologStargazers:0Issues:2Issues:0

openfest-2022

Presentation for a talk at Open Fest 2022 - Is the future of VLSI open source ?

Language:Jupyter NotebookLicense:MITStargazers:0Issues:1Issues:0

pepaivanova

Sources for Pepa's new website

Language:JavaScriptStargazers:0Issues:2Issues:0
Language:HTMLLicense:MITStargazers:0Issues:0Issues:0

Signal-Integrity-PhD

My PhD @ Tu-Sofia - Microelectronics

Language:TeXLicense:MITStargazers:0Issues:0Issues:0

sun-data

Sun sensor for Pepa Ivanova

Language:ArduinoLicense:MITStargazers:0Issues:0Issues:0

UVbox

UV box for PCB manufacturing

Language:JavaScriptStargazers:0Issues:3Issues:1