bingoshu / softmax

Verilog implementation of Softmax function

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

Verilog implementation of Softmax function

Softmax is a non-linear logistic function commonly used in neural network circuits.

Softmax is denoted with the following equation.

Softmax equation

Softmax graph

Softmax graph Taylor series approximation is used to calculate exponential which is donated as follow: f(x) = e^x = 1 + x/1! + x^2/2! + x^3/3! + ....

Implementation Block:

Block Diagram

Waveforms

softmax waveform

About

Verilog implementation of Softmax function

License:Apache License 2.0


Languages

Language:Verilog 95.1%Language:SystemVerilog 4.3%Language:Python 0.7%