bhrigub / 32x8-Asynchronous-ROM

32x8 asynchronous ROM. Read_address and ouput_en are two inputs of ROM. Used $fmonitor to store the location(@location_in_hex) and content(conten_in_hex) that is read in mem.txt file and used $readmemh system task to: a. Initialize ROM from data stored in “memory1.txt” file. Each location stores the content equivalent to its read_ address (i.e. 5 is stored in 5th location of ROM). Used self testing test bench to verify that the content and the read_address are equal to each other for a particular location. b. Initialized ROM from data stored in “memory2.txt” file. Stored the data in address ranging from 15 to 28. Verified the content stored using test bench.

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

This repository is not active

About

32x8 asynchronous ROM. Read_address and ouput_en are two inputs of ROM. Used $fmonitor to store the location(@location_in_hex) and content(conten_in_hex) that is read in mem.txt file and used $readmemh system task to: a. Initialize ROM from data stored in “memory1.txt” file. Each location stores the content equivalent to its read_ address (i.e. 5 is stored in 5th location of ROM). Used self testing test bench to verify that the content and the read_address are equal to each other for a particular location. b. Initialized ROM from data stored in “memory2.txt” file. Stored the data in address ranging from 15 to 28. Verified the content stored using test bench.


Languages

Language:Verilog 100.0%