Ben Reynwar (benreynwar)

benreynwar

Geek Repo

Company:USC, Information Sciences Institiute

Location:Tucson, Arizona, USA

Home Page:http://www.reynwar.net/ben

Github PK Tool:Github PK Tool

Ben Reynwar's repositories

pyvivado

Python tools for Vivado Projects

Language:PythonLicense:MITStargazers:73Issues:17Issues:2

slvcodec

Generate conversions to/from VHDL types and std_logic_vector. Generate python-based tests.

htfft

A high throughput FFT implementation

Language:PythonLicense:MITStargazers:10Issues:4Issues:1

axilent

Python to AXI4

Language:PythonLicense:MITStargazers:9Issues:4Issues:9

fusesoc_generators

Add generators to fusesoc so that depenedencies can be generated from generic parameters.

verilate

Running python tests with verilator

Language:PythonLicense:MITStargazers:2Issues:3Issues:0

vunit

VUnit is a unit testing framework for VHDL/SystemVerilog

Language:VHDLLicense:NOASSERTIONStargazers:2Issues:3Issues:0

aws-fpga-1

Official repository of the AWS EC2 FPGA Hardware and Software Development Kit

Language:VHDLLicense:NOASSERTIONStargazers:1Issues:3Issues:0

check_cocotb_ghdl

Just a check to make sure cocotb and ghdl are working together

Language:PythonStargazers:1Issues:3Issues:0

cocotb

Coroutine Co-simulation Test Bench

Language:PythonLicense:NOASSERTIONStargazers:1Issues:2Issues:0

cocotb-testrunner

Run tests with cocotb

Language:PythonLicense:MITStargazers:1Issues:3Issues:0

CoSA

CoreIR Symbolic Analyzer

Language:PythonLicense:NOASSERTIONStargazers:1Issues:2Issues:0

edalize

An abstraction library for interfacing EDA tools

Language:PythonLicense:BSD-2-ClauseStargazers:1Issues:3Issues:0

fusesoc

FuseSoC is a package manager and a set of build tools for FPGA/ASIC development

Language:PythonLicense:GPL-3.0Stargazers:1Issues:3Issues:0

ghdl

VHDL 2008/93/87 simulator

Language:VHDLLicense:GPL-2.0Stargazers:1Issues:3Issues:0

latte21

Languages, Tools, and Techniques for Accelerator Design

Stargazers:1Issues:0Issues:0

migen

A Python toolbox for building complex digital hardware

Language:PythonLicense:NOASSERTIONStargazers:1Issues:3Issues:0

nmigen

A refreshed Python toolbox for building complex digital hardware

Language:PythonLicense:NOASSERTIONStargazers:1Issues:3Issues:0
Language:RustLicense:NOASSERTIONStargazers:1Issues:3Issues:0

symbiflow-arch-defs

FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.

Language:PythonLicense:ISCStargazers:1Issues:3Issues:0

vtr-verilog-to-routing

Verilog to Routing -- Open Source CAD Flow for FPGA Research

Language:CLicense:NOASSERTIONStargazers:1Issues:3Issues:0

SiLemma

Trying to prove things about circuits in Dafny

Language:DafnyLicense:MITStargazers:0Issues:0Issues:0
Language:PythonStargazers:0Issues:3Issues:0

cocotb-test

Unit testing for cocotb

Language:PythonLicense:BSD-2-ClauseStargazers:0Issues:2Issues:0

dafny_experiments

Experiments while learning dafny

Language:DafnyStargazers:0Issues:0Issues:0

misc_dump

Miscellaneous stuff is getting dumped here.

Stargazers:0Issues:3Issues:0

openpiton

The OpenPiton Platform

Stargazers:0Issues:0Issues:0

silveroak

Formal specification and verification of hardware, especially for security and privacy.

License:Apache-2.0Stargazers:0Issues:0Issues:0

verilog-axi

Verilog AXI components for FPGA implementation

License:MITStargazers:0Issues:0Issues:0