baseli / RS-485

verilog实现RS-485接口

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

#RS-485通信接口的实现

实现485通信接口,由于485协议只是规范了硬件层是如何实现的,而传输层并没有做以规定,因此在实现接口时,必须借助于其他的传输层协议完成,这里选用的是HDLC协议

实现功能:

  1. 串口接收数据(9600Hz)
  2. 插入、删除“0”码
  3. CRC校验
  4. hdlc数据帧串行发送
  5. 接收串行发送的hdlc数据帧
  6. 串口发送数据

About

verilog实现RS-485接口


Languages

Language:Verilog 100.0%