b00rito / DES-in-VHDL

Implementation of the DES cipher in a hardware description language (HDL)

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

DES-in-VHDL

Implementation of the DES cipher in a hardware description language (HDL)


Basic schematic of the algorithm:



alt tag




Currently the vhdl code can encrypt and decrypt binary data given in the testbench as std_logic_vector(0 to 63)

To-Do's

- fix code intents and looking
- check if it is possible to encrypt/decrypt real data -> take a param in vhdl
- add comments

About

Implementation of the DES cipher in a hardware description language (HDL)

License:MIT License


Languages

Language:VHDL 100.0%