airhdl

airhdl

Geek Repo

Web-based VHDL/SystemVerilog AXI4 register generator for FPGA and ASIC projects.

Location:Germany

Home Page:https://airhdl.com/

Twitter:@airhdl

Github PK Tool:Github PK Tool

airhdl's repositories

spi-to-axi-bridge

An SPI to AXI4-lite bridge for easy interfacing of airhdl register banks with any microcontroller.

Language:VHDLLicense:Apache-2.0Stargazers:34Issues:3Issues:0

lfsr-example

A PN9 sequence checker for the AD9645 analog-to-digital converter

Language:VHDLLicense:Apache-2.0Stargazers:2Issues:0Issues:0

osvvm-demo

A project demonstrating how to use the OSVVM library and its Axi4LiteManager verification component to simulate an airhdl register bank.

Language:VHDLLicense:Apache-2.0Stargazers:1Issues:1Issues:0

scripts

A collection of airhdl-related scripts

Language:PythonLicense:Apache-2.0Stargazers:1Issues:1Issues:1
Stargazers:0Issues:1Issues:0