YoWASP

YoWASP

Geek Repo

Unofficial Yosys WebAssembly Synthesis & PNR packages

Home Page:https://yowasp.org

Github PK Tool:Github PK Tool

YoWASP's repositories

yosys

Unofficial Yosys WebAssembly packages

Language:PythonLicense:ISCStargazers:65Issues:3Issues:19

nextpnr

Unofficial nextpnr WebAssembly packages

Language:ShellLicense:ISCStargazers:15Issues:2Issues:2

vscode

YoWASP toolchain for Visual Studio Code

Language:TypeScriptLicense:ISCStargazers:14Issues:3Issues:1

toolchain-demo

Demonstration of the YoWASP toolchain being used with Visual Studio Code to program a Radiona ULX3S board

Language:PythonLicense:ISCStargazers:11Issues:2Issues:0

clang

Unofficial clang WebAssembly packages

Language:ShellLicense:ISCStargazers:7Issues:4Issues:0

yowasp.github.io

Project documentation

openFPGALoader-web

Unofficial openFPGALoader packages built for WebUSB

Language:JavaScriptLicense:ISCStargazers:6Issues:3Issues:0

sphinxcontrib-wavedrom

A Sphinx extension for embedding WaveDrom diagrams

Language:PythonLicense:MITStargazers:6Issues:0Issues:0

runtime-js

Common runtime for YoWASP packages deployed to NPM, interfacing with Node.js and the browser

Language:JavaScriptLicense:ISCStargazers:5Issues:2Issues:2

runtime-py

Common runtime for YoWASP packages deployed to PyPI, interfacing with the WebAssembly engine and the OS

Language:PythonLicense:ISCStargazers:5Issues:1Issues:1

wavedrom

WaveDrom generates diagrams for digital waveforms, bit fields, and simple combinational circuits

Language:JavaScriptLicense:MITStargazers:5Issues:0Issues:0

boolector

Unofficial nextpnr WebAssembly packages

Language:ShellLicense:NOASSERTIONStargazers:2Issues:0Issues:0

llvm-project

Fork of LLVM ported to run on WebAssembly/WASI

License:NOASSERTIONStargazers:1Issues:0Issues:0