Simone (SDibla)

SDibla

Geek Repo

Location:Turin, Italy

Github PK Tool:Github PK Tool

Simone's repositories

8086-Signed_Matrix_Multiplication

Program in 8086 assembly for multiplying two matrices whose elements are signed numbers expressed with one byte. The possibility of overflow is also considered.

Language:AssemblyLicense:MITStargazers:6Issues:0Issues:0

8086-Reading_Text_Count_Occurrences_Apply_Cryptographic

8086 Assembly code for read in input a short text of 4 lines, each of these lines long from 20 to 50 characters. Count number of occurrences of the letters and apply a cryptographic algorithm.

Language:AssemblyLicense:MITStargazers:5Issues:1Issues:0

Cortex-M4-MCP2517FD_Driver

CAN FD Driver for MCP2517FD with SPI interface

Language:CLicense:MITStargazers:4Issues:1Issues:0

VHDL-Booth_Multiplier

Booth's algorithm is a procedure for the multiplication of two signed binary numbers in two's complement notation. This code is a structural\behavioral implementation of the N bit Booth's multiplier in VHDL.

Language:VHDLLicense:MITStargazers:4Issues:1Issues:0

Arduino-STM32-AMT22_Library

Arduino and STM32 libraries for absolute encoder of the AMT22 series (12 bit or 14 bit version) by CUI Devices.

Language:C++License:MITStargazers:2Issues:1Issues:1

C-CRC_Generator

CRC generator from CRC-1 to CRC-8, for any polynomial.

Language:CLicense:MITStargazers:2Issues:0Issues:0

NXP-LPC1768-Slot_Machine

Slot machine with 3 rolling wheels, every wheel can show one of the two symbols avaiable (Symbols are leds). Three push button can controls the 3 wheels and the starts of the game. In the case of win the leds show an a specific combination.

Language:CLicense:MITStargazers:2Issues:1Issues:0

VHDL-P4_Adder

Pentium 4 adder

Language:VHDLLicense:MITStargazers:2Issues:1Issues:0

Cortex-M4-FT5X46_Driver

I2C Capacitive Touch Driver for FT5X46 FocalTech Capacitive Touch Panel Controller

Language:CLicense:MITStargazers:1Issues:1Issues:0

NXP-LPC1768-Simon_Game

Emulate the Simone Game using 3 buttons to repeat the sequence displayed by the system using 3 leds (Random generation). In the case of correct sequence the game repeats, increasing by 1 the length of the sequence. Otherwise the player loses, and the game starts again from sequence length 1.

Language:CLicense:MITStargazers:1Issues:1Issues:0

PYTHON-Basket_Players_stats

The program reads the statistics of basketball players from Wikipedia, saves them in an Excel file and generates a graph to compare the values.

Language:PythonLicense:MITStargazers:1Issues:1Issues:0

QML-PushButton3D

QML Application that implement a 3D Push Button with anmiation to emulate the press and the release.

Language:QMLLicense:MITStargazers:1Issues:1Issues:0

TCL-Left_Edge_Algorithm

TCL code that implement left edge algorithm for sharing resources, after the scheduling process of a DFG.

Language:TclLicense:MITStargazers:1Issues:1Issues:0

VHDL-DLX_ALU

ALU is the core of all operations, it elaborate two operands and performs logical and arithmetic operations based on the instruction passed to it by the CU.

Language:VHDLLicense:MITStargazers:1Issues:1Issues:0