R. Timothy Edwards (RTimothyEdwards)

RTimothyEdwards

Geek Repo

Company:Open Circuit Design

Location:Poolesville, Maryland

Home Page:http://opencircuitdesign.com/~tim/

Github PK Tool:Github PK Tool

R. Timothy Edwards's repositories

magic

Magic VLSI Layout Tool

Language:CLicense:NOASSERTIONStargazers:453Issues:26Issues:212

open_pdks

PDK installer for open-source EDA tools and toolchains. Distributed with setups for the SkyWater 130nm and Global Foundries 180nm open processes.

Language:PythonLicense:Apache-2.0Stargazers:268Issues:20Issues:207

qflow

Qflow full end-to-end digital synthesis flow for ASIC designs

netgen

Netgen complete LVS tool for comparing SPICE or verilog netlists

Language:CLicense:NOASSERTIONStargazers:104Issues:10Issues:58

XCircuit

XCircuit circuit drawing and schematic capture tool

Language:CLicense:GPL-2.0Stargazers:103Issues:10Issues:15

qrouter

Qrouter detail router for digital ASIC designs

Language:CLicense:GPL-2.0Stargazers:54Issues:8Issues:6

irsim

IRSIM switch-level simulator for digital circuits

Language:CLicense:GPL-2.0Stargazers:31Issues:5Issues:6

capiche

Parasitic capacitance analysis of foundry metal stackups

Language:PythonLicense:GPL-2.0Stargazers:10Issues:0Issues:0

caravel_openframe_project

Example digital project for the Efabless Caravel "openframe" harness

Language:VerilogLicense:Apache-2.0Stargazers:7Issues:1Issues:15

tutorial_layout

Repository of files associated with the webinar on analog layout using magic and klayout with Matt Venn.

Language:ShellLicense:Apache-2.0Stargazers:7Issues:0Issues:0

sky130_ef_ip__opamp

Instrumentation amplifier (analog IP example)

Language:VerilogLicense:Apache-2.0Stargazers:6Issues:0Issues:0

chipalooza_projects_1

Chipalooza challenge first test chip of projects

Language:MakefileLicense:Apache-2.0Stargazers:5Issues:0Issues:0

sky130_ef_ip__cdac3v_12bit

12-bit capacitive DAC

Language:MakefileStargazers:2Issues:1Issues:0

sky130_ef_ip__rdac3v_8bit

8-bit resistor ladder DAC with 3.3V output range

Language:MATLABLicense:Apache-2.0Stargazers:2Issues:0Issues:0

sky130_ef_ip__samplehold

Analog 3.3V sample and hold circuit, with buffered output

Language:VerilogLicense:Apache-2.0Stargazers:2Issues:0Issues:0

sky130_ef_ip__xtal_osc_32k

Low power, low speed (32.768kHz) crystal oscillator circuit for sky130 technology

Language:ShellLicense:Apache-2.0Stargazers:2Issues:0Issues:0

Smoothieware

Modular, opensource, high performance G-code interpreter and CNC controller written in Object-Oriented C++

Language:C++Stargazers:2Issues:2Issues:0

contact_programmed_ROM_cells

Set of layouts for contact-programmed ROM values of various bit widths.

fracn_dll

Alternative version of the Caravel digital locked loop (DLL) with a fractional feedback divider

Language:VerilogStargazers:1Issues:0Issues:0

sky130_ef_ip__biasgen

Current bias generator circuit for distributing bias currents to analog circuits.

Language:ShellLicense:Apache-2.0Stargazers:1Issues:0Issues:0

sky130_ef_ip__ccomp3v

Continuous analog comparator, 1mV resolution

Language:VerilogLicense:Apache-2.0Stargazers:1Issues:0Issues:0

sky130_ef_ip__rc_osc_16M

16MHz (nominal) current-starved ring oscillator

Language:ShellLicense:Apache-2.0Stargazers:1Issues:0Issues:0

sky130_ef_ip__xtal_osc_16M

High speed (16MHz) crystal oscillator in sky130 technology

Language:ShellLicense:Apache-2.0Stargazers:1Issues:1Issues:0

tt-fpga-hdl-demo

TinyTapeout demo

Language:TL-VerilogLicense:Apache-2.0Stargazers:1Issues:0Issues:0

sky130_ef_ip__analog_switches

Set of analog switch circuits for general-purpose use

Language:ShellLicense:Apache-2.0Stargazers:0Issues:0Issues:0

FOSSi_workshop

Files related to FOSSi Latch-Up 2024 workshop, Sunday, April 21, 2024.

Language:ShellLicense:Apache-2.0Stargazers:0Issues:0Issues:0

globalfoundries-pdk-libs-gf180mcu_fd_pr

Primitives for GF180MCU provided by GlobalFoundries.

Language:PythonLicense:Apache-2.0Stargazers:0Issues:0Issues:0

sky130_ef_ip__rc_osc_500k

Medium-speed low-power oscillator (500kHz) with enable.

Language:ShellLicense:Apache-2.0Stargazers:0Issues:0Issues:0

sky130_ef_ip__rheostat_8bit

8-bit programmable rheostat with 50kohm end-to-end resistance, in the sky130 process.

Language:MATLABLicense:Apache-2.0Stargazers:0Issues:0Issues:0

skywater-pdk-libs-sky130_fd_io

IO and periphery cells for SKY130 provided by SkyWater.

License:Apache-2.0Stargazers:0Issues:0Issues:0