Ethan Mahintorabi (QuantamHD)

QuantamHD

Geek Repo

Company:@google

Location:Seattle

Github PK Tool:Github PK Tool

Ethan Mahintorabi's repositories

lemon

LEMON stands for Library for Efficient Modeling and Optimization in Networks. It is a C++ template library providing efficient implementations of common data structures and algorithms with focus on combinatorial optimization tasks connected mainly with graphs and networks.

Language:C++License:NOASSERTIONStargazers:1Issues:2Issues:0

XDM

This is the XDM netlist converter, used to convert PSPICE and HSPICE netists into Xyce format.

Language:C++License:NOASSERTIONStargazers:1Issues:2Issues:0

abc

ABC: System for Sequential Logic Synthesis and Formal Verification

Language:CLicense:NOASSERTIONStargazers:0Issues:1Issues:0

antlr4

ANTLR (ANother Tool for Language Recognition) is a powerful parser generator for reading, processing, executing, or translating structured text or binary files.

Language:JavaLicense:NOASSERTIONStargazers:0Issues:1Issues:0

bazel_rules_hdl

Hardware Description Language (Verilog, VHDL, Chisel, nMigen, etc) with open tools (Yosys, Verilator, OpenROAD, etc) rules for Bazel (https://bazel.build)

Language:StarlarkLicense:Apache-2.0Stargazers:0Issues:1Issues:0

clang-tidy-review

Create a pull request review based on clang-tidy warnings

Language:PythonLicense:MITStargazers:0Issues:0Issues:0
Language:PythonLicense:Apache-2.0Stargazers:0Issues:0Issues:0
Language:PythonLicense:Apache-2.0Stargazers:0Issues:1Issues:0
Language:VerilogLicense:Apache-2.0Stargazers:0Issues:1Issues:0
Language:VerilogLicense:Apache-2.0Stargazers:0Issues:1Issues:0

globalfoundries-pdk-libs-gf180mcu_fd_sc_mcu7t5v0

7 track standard cells for GF180MCU provided by GlobalFoundries.

Language:VerilogLicense:Apache-2.0Stargazers:0Issues:0Issues:0

klayout

KLayout Main Sources

Language:C++License:GPL-3.0Stargazers:0Issues:0Issues:0
Language:PythonLicense:Apache-2.0Stargazers:0Issues:0Issues:0

open_pdks

PDK installer for open-source EDA tools and toolchains. Distributed with a setup for the Google/SkyWater 130nm process.

Language:PythonLicense:Apache-2.0Stargazers:0Issues:0Issues:0

OpenROAD

OpenROAD's unified application implementing an RTL-to-GDS Flow

Language:VerilogLicense:BSD-3-ClauseStargazers:0Issues:1Issues:0

OpenSTA

OpenSTA engine

Language:C++License:GPL-3.0Stargazers:0Issues:1Issues:0
License:GPL-3.0Stargazers:0Issues:0Issues:0

platforms

Constraint values for specifying platforms and toolchains

Language:StarlarkLicense:Apache-2.0Stargazers:0Issues:1Issues:0

rules_boost

bazel build rules to use boost in bazel projects

Language:CLicense:Apache-2.0Stargazers:0Issues:1Issues:0

skywater-pdk

Open source process design kit for usage with SkyWater Technology Foundry's 130nm node.

Language:PythonLicense:Apache-2.0Stargazers:0Issues:1Issues:0
License:Apache-2.0Stargazers:0Issues:1Issues:0

subleq

https://caravel-user-project.readthedocs.io

Language:VerilogLicense:Apache-2.0Stargazers:0Issues:0Issues:0

Surelog

SystemVerilog 2017 Pre-processor, Parser, Elaborator, UHDM Compiler. Provides IEEE Design/TB C/C++ VPI and Python AST API. Compiles on Linux gcc, Windows msys2-gcc & msvc, OsX

Language:C++License:Apache-2.0Stargazers:0Issues:1Issues:0

swig

SWIG is a software development tool that connects programs written in C and C++ with a variety of high-level programming languages.

Language:C++License:NOASSERTIONStargazers:0Issues:1Issues:0

UHDM

Universal Hardware Data Model. A complete modeling of the IEEE SystemVerilog Object Model with VPI Interface, Elaborator, Serialization, Visitor and Listener. Used as a compiled interchange format in between SystemVerilog tools. Compiles on Linux gcc, Windows msys2-gcc & msvc, OsX

Language:C++License:Apache-2.0Stargazers:0Issues:1Issues:0

xls

XLS: Accelerated HW Synthesis

Language:C++License:Apache-2.0Stargazers:0Issues:1Issues:0

xo

XO monorepo

Language:StarlarkStargazers:0Issues:2Issues:1

yosys

Yosys Open SYnthesis Suite

Language:C++License:ISCStargazers:0Issues:1Issues:0

yosys-symbiflow-plugins

Plugins for Yosys developed as part of the SymbiFlow project.

Language:VerilogLicense:Apache-2.0Stargazers:0Issues:1Issues:0