Chuan Nie (MineFujiko)

MineFujiko

Geek Repo

Location:Chengdu,China

Github PK Tool:Github PK Tool

Chuan Nie's repositories

Language:TypeScriptStargazers:2Issues:0Issues:0
Language:HTMLStargazers:1Issues:0Issues:0

chisel

A fast TCP/UDP tunnel over HTTP

Language:GoLicense:MITStargazers:0Issues:0Issues:0

cocotb

cocotb, a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python

Language:PythonLicense:NOASSERTIONStargazers:0Issues:0Issues:0

Digital-IDE

在vscode上的数字设计开发插件

License:GPL-3.0Stargazers:0Issues:0Issues:0

dma_ip_drivers

Xilinx QDMA IP Drivers

Stargazers:0Issues:0Issues:0

e200_opensource

The Ultra-Low Power RISC Core

License:Apache-2.0Stargazers:0Issues:0Issues:0

hbird-e-sdk

Deprecated, please go to https://github.com/riscv-mcu/hbird-sdk/

License:NOASSERTIONStargazers:0Issues:0Issues:0
Stargazers:0Issues:0Issues:0

icestudio

:snowflake: Visual editor for open FPGA boards

License:GPL-2.0Stargazers:0Issues:0Issues:0

jesd204b

JESD204B core for Migen/MiSoC

Stargazers:0Issues:0Issues:0
Language:TeXStargazers:0Issues:0Issues:0
Stargazers:0Issues:0Issues:0
Language:TypeScriptLicense:MITStargazers:0Issues:0Issues:0

OFDM

A MATLAB program to help understand OFDM.

Stargazers:0Issues:0Issues:0

openwifi

open-source IEEE802.11/Wi-Fi baseband chip/FPGA design

License:AGPL-3.0Stargazers:0Issues:0Issues:0

pasteex-mode

An Emacs extension that save clipboard image to disk file, and insert file link to current point.

Language:Emacs LispLicense:GPL-3.0Stargazers:0Issues:0Issues:0

pcileech-fpga

FPGA modules used together with the PCILeech Direct Memory Access (DMA) Attack Software

Stargazers:0Issues:0Issues:0
Language:HTMLStargazers:0Issues:0Issues:0

pyda-2e-zh

:book: [译] 利用 Python 进行数据分析 · 第 2 版

License:NOASSERTIONStargazers:0Issues:0Issues:0

pyfda

Python Filter Design Analysis Tool

License:MITStargazers:0Issues:0Issues:0

pysvinst

Python library for parsing module definitions and instantiations from SystemVerilog files

License:MITStargazers:0Issues:0Issues:0

riscv-isa-sim

Spike, a RISC-V ISA Simulator

License:NOASSERTIONStargazers:0Issues:0Issues:0
License:NOASSERTIONStargazers:0Issues:0Issues:0

u-boot-socfpga

U-Boot development repository for socfpg

Stargazers:0Issues:0Issues:0

verilog-axi

Verilog AXI components for FPGA implementation

License:MITStargazers:0Issues:0Issues:0

verilog-pcie

Verilog PCI express components

License:MITStargazers:0Issues:0Issues:0

Verilog-Practice

HDLBits website practices & solutions

Stargazers:0Issues:0Issues:0

vimcdoc

Vim 中文文档计划

License:NOASSERTIONStargazers:0Issues:0Issues:0

vscode-terosHDL

VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!

License:GPL-3.0Stargazers:0Issues:0Issues:0