MPSU / exAPS

Архитектуры процессорных систем (старый репозиторий, ранее размещавшийся по адресу github.com/MPSU/APS)

Home Page:https://github.com/MPSU/APS

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

half_adder ошибка в коде

Nikolai-ip opened this issue · comments

module testbench(); // <- Не имеет ни входов, ни выходов!
reg a, b, p, s;

хотя на мы не можем поставить регистры на выход
module testbench();
reg A,B;
wire P,S;

Использовние незадекларированых полей s1, s2:
initial begin
s1 = 'd0; s2 = 'd0; // <- Подаём на входы модуля тестовые
#10; // воздействия
s1 = 'd0; s2 = 'd1;
#10; // <- Делаем паузу в десять отсчётов
s1 = 'd1; s2 = 'd0; // времени симуляции перед очередным
#10; // изменением входных сигналов
s1 = 'd1; s2 = 'd1;
end