JonnyLe / mipsCPU

利用verilog硬件描述语言实现mips五级流水线CPU设计,并实现20条基本指令和其他高级指令,

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

mipsCPU

利用verilog硬件描述语言实现mips五级流水线CPU设计,并实现20条基本指令和其他高级指令,

About

利用verilog硬件描述语言实现mips五级流水线CPU设计,并实现20条基本指令和其他高级指令,

License:Apache License 2.0


Languages

Language:Verilog 100.0%