FPGA-Systems / fpga-awesome-list

Полезные ресурсы по тематике FPGA / ПЛИС

Geek Repo:Geek Repo

Github PK Tool:Github PK Tool

fpga-awesome-list

Полезные ресурсы по тематике FPGA / ПЛИС (useful FPGA resources)
Все желающие могут помочь в составлении списка полезных информационных ресурсов по тематике FPGA / ПЛИС - сайты, youtube каналы, инструменты разработки, книги, отладочные платы и тд. Everyone can help in compiling a list of useful information resources on the subject of FPGA - web sites, youtube channels, development tools, books, debugging boards, and so on.
Добавлять данные в список, предлагать категории и улучшения по юзабилити списка можно через pull request или написав на почту admin@fpga-systems.ru или в личном сообщении в телеграм @KeisN13 You can add data to the list, suggest categories and improve the usability of the list via pull request or by writing to the mail admin@fpga-systems.ru or in a personal message to telegram @KeisN13

Оглавление -- Content


Телеграм -- Telegram

  • @fpgasystems - чат русскоязычного сообщества FPGA разработчиков
  • @fpgasic - FPG[A]SIC tips and tricks
  • @ipcores - Collection of IP-cores for FPGA & ASIC written on Verilog/VHDL
  • FPGAcommunity - FPGA developers community

Сайты -- Web

  • fpga-systems.ru - русскоязычное сообщество FPGA разработчиков
  • fpga4fun.com - различные проекты на ПЛИС
  • projectf.io - графика на FPGA
  • zipcpu.com - про разработку процессора ZipCPU и др.
  • beyond-circuits.com - блог про FPGA
  • vhdlwhiz.com - блог разработок про VHDL и курсы
  • verificationguide.com - портал компетенций по SystemVerilog и UVM
  • testbench.in - сайт по UVM и OVM
  • Adiuvoengineering - персональный блог Адама Тейлора (Microzed Chronicles)
  • fpga4student.com - портал с проектами на FPGA
  • verificationacademy.com - портал и центр компетенций по верификации FPGA проектов
  • Opencores - Сайт сообщества Opencores, описания этого сообщества есть на русской вики
  • Chisel - Проект chisel3 для написания rtl на языке Scala.
  • SpinalHDL - проект для написания rtl на Scala
  • Asic World - англоязычный сайт про System Verilog
  • chipverify.com - англоязычный сайт про System Verilog
  • FPGA designs with Verilog - руководство по языку Verilog с примерами
  • FPGA designs with VHDL - руководство по языку VHDL с примерами
  • HDLbits - сборник небольших схемотехнических упражнений для практики проектирования цифрового оборудования с использованием Verilog
  • Марсоход - Сообщество, созданное профессиональными разработчиками устройств на базе FPGA и CPLD компании Altera/Intel
  • Sunburst Design - сайт с интересными статьями по Veriog и SystemVerilog, OVM/UVM
  • Knowledge Base Numato Lab - ряд обучающих проектов для конкретных индийских отладок с пошаговым описанием
  • RTL Audio Blog - блог с различным RTL для работы со звуком
  • Muhammed Kocaoğlu blog on Medium - блог инженера разработчика FPGA
  • Makarena Labs - туториалы по Pynq
  • FPGA Tutorial - Learn the Basics of FPGA Design. Explore our free and comprehensive tutorials covering four of the major programming languages which are used in the design and verification of FPGAs.
  • itsembedded - Блог инженера Norbertas Kremeris по проектированию и верификации цифровой логики со статьями об использовании "Verilator and C++ testbenches" и "Vivado Simulator Scripted Flow (Bash, Makefiles)"
  • electgon - Electgon started with the intention of providing knowledge and tools for electronics engineers and entities as a trial of enriching this field.
  • electronix - один из крупнейших русскоязычных форумов по электронике, в т.ч. и ПЛИС
  • VHDL потрал для студентов и разработчиков - справочник по VHDL
  • Learn FPGA easy
  • Tang Nano Project Series
  • 01signal

Группы и сообщества -- Groups and Communities

Инструменты -- Tools

  • Testonica - набор инструментов для тестирования изделий
  • Edaplayground - инструменты для моделирования, все известные симуляторы он-лайн
  • Symbiflow - опенсорс тул для проектирования на FPGA
  • Yosys - опенсорс синтезатор (Open SYnthesis Suite)
  • FloPoCo - FloPoCo предлагает более 50 операторов с фиксированной или плавающей запятой, каждый из которых параметризован по точности и также и по целевой частоте для ПЛИС
  • WaveDrom - Удобное приложение для рисования временных диаграмм.
  • The-OpenROAD-Project - Комплекс программ для проведения логического синтеза и топологии кристалла
  • Digital - Программа для построения и симуляции простых логических схем
  • cocotb - Библиотека косимуляции и проведения тестирования для Python
  • icarus.com - Бесплатный симулятор Verilog
  • GHDL - Бесплатный симулятор VHDL
  • NVC - Еще один компилятор и симулятор для VHDL
  • GTKWave - Программа для просмотра временных диаграмм
  • Corsair - удобнейший генератор карты регистров, rtl, С хедеров и пр.
  • VMAccel - Fully Customizable FPGA Cloud Solutions
  • Tesil — open source ML accelerator framework for FPGAs
  • pyMTL - PyMTL 3 (Mamba) is the latest version of PyMTL, an open-source Python-based hardware generation, simulation, and verification framework with multi-level hardware modeling support.
  • Verissimo - Verissimo SystemVerilog Testbench Linter is a coding guideline and verification methodology compliance checker that enables engineers to perform a thorough audit of their testbenches.
  • DigitalJS - the DigitalJS digital logic simulator and the yosys2digitaljs netlist format converter,

Youtube

Отладочные платы -- Boards and kits

Githubs -- Гитабы

Производители FPGA -- FPGA Vendors

Производители eFPGA -- eFPGA Vendors

About

Полезные ресурсы по тематике FPGA / ПЛИС

License:MIT License