Amir-HK's repositories

Language:HTMLStargazers:1Issues:0Issues:0

PIMSim

PIMSim is a Process-In-Memory Simulator with the compatibility of GEM5 full-system simulation.

Language:C++License:GPL-3.0Stargazers:1Issues:0Issues:0

ramulator-pim

A fast and flexible simulation infrastructure for exploring general-purpose processing-in-memory (PIM) architectures. Ramulator-PIM combines a widely-used simulator for out-of-order and in-order processors (ZSim) with Ramulator, a DRAM simulator with memory models for DDRx, LPDDRx, GDDRx, WIOx, HBMx, and HMCx. Ramulator is described in the IEEE CAL 2015 paper by Kim et al. at https://people.inf.ethz.ch/omutlu/pub/ramulator_dram_simulator-ieee-cal15.pdf Ramulator-PIM is used in the DAC 2019 paper by Singh et al. at https://people.inf.ethz.ch/omutlu/pub/NAPEL-near-memory-computing-performance-prediction-via-ML_dac19.pdf

Language:C++Stargazers:1Issues:0Issues:0
Stargazers:0Issues:0Issues:0

BACS

Benchmarks for Approximate Circuit Synthesis

Language:VerilogStargazers:0Issues:0Issues:0

Binary-Neural-Networks

Implemented here a Binary Neural Network (BNN) achieving nearly state-of-art results but recorded a significant reduction in memory usage and total time taken during training the network.

Language:PythonLicense:GPL-3.0Stargazers:0Issues:0Issues:0

DAMOV

DAMOV is a benchmark suite and a methodical framework targeting the study of data movement bottlenecks in modern applications. It is intended to study new architectures, such as near-data processing. Described by Oliveira et al. (preliminary version at https://arxiv.org/pdf/2105.03725.pdf)

Language:C++License:NOASSERTIONStargazers:0Issues:0Issues:0

DNN_NeuroSim_V2.1

Benchmark framework of compute-in-memory based accelerators for deep neural network (on-chip training chip focused)

Language:C++Stargazers:0Issues:0Issues:0

Eva-CiM

Code of "Eva-CiM: A System-Level Performance and Energy Evaluation Framework for Computing-in-Memory Architectures", TCAD 2020

Language:C++Stargazers:0Issues:0Issues:0

IMAC

IMAC is an In-memory Multiply and ACcumulation Engine (TCAS 2020)

License:MITStargazers:0Issues:0Issues:0

machine-learning-cheat-sheet

Classical equations and diagrams in machine learning

Stargazers:0Issues:0Issues:0

mcpat

An integrated power, area, and timing modeling framework for multicore and manycore architectures

Stargazers:0Issues:0Issues:0

MemTorch

A Simulation Framework for Memristive Deep Learning Systems

License:GPL-3.0Stargazers:0Issues:0Issues:0

MLP_NeuroSim_V3.0

Benchmark framework of synaptic device technologies for a simple neural network

Stargazers:0Issues:0Issues:0

MNSIM-2.0

A Behavior-Level Modeling Tool for Memristor-based Neuromorphic Computing Systems

Stargazers:0Issues:0Issues:0

MultiPIM

MultiPIM: A Detailed and Configurable Multi-Stack Processing-In-Memory Simulator

License:GPL-2.0Stargazers:0Issues:0Issues:0
License:NOASSERTIONStargazers:0Issues:0Issues:0

prim-benchmarks

PrIM (Processing-In-Memory benchmarks) is the first benchmark suite for a real-world processing-in-memory (PIM) architecture. PrIM is developed to evaluate, analyze, and characterize the first publicly-available real-world PIM architecture, the UPMEM PIM architecture. Described by Gómez-Luna et al. (preliminary version at https://arxiv.org/abs/2105.03814).

License:MITStargazers:0Issues:0Issues:0

pytorch-lightning

The lightweight PyTorch wrapper for high-performance AI research. Scale your models, not the boilerplate.

License:Apache-2.0Stargazers:0Issues:0Issues:0

ramulator

A Fast and Extensible DRAM Simulator, with built-in support for modeling many different DRAM technologies including DDRx, LPDDRx, GDDRx, WIOx, HBMx, and various academic proposals. Described in the IEEE CAL 2015 paper by Kim et al. at http://users.ece.cmu.edu/~omutlu/pub/ramulator_dram_simulator-ieee-cal15.pdf

License:MITStargazers:0Issues:0Issues:0

SC-DNN

Stochastic Computing for Deep Neural Networks

License:MITStargazers:0Issues:0Issues:0

scsynth

Synthesis tool for stochastic computing

License:MITStargazers:0Issues:0Issues:0

SIMPLE-MAGIC

SIMPLE MAGIC: Synthesis and In-memory MaPping of Logic Execution for Memristor Aided loGIC

Stargazers:0Issues:0Issues:0

simple-neural-network

A simple Python script showing how the backpropagation algorithm works.

Stargazers:0Issues:0Issues:0

TensorFlow-Examples

TensorFlow Tutorial and Examples for Beginners (support TF v1 & v2)

License:NOASSERTIONStargazers:0Issues:0Issues:0

tensorflow_2_tutorials

Tensorflow 2.0 tutorials

Stargazers:0Issues:0Issues:0

tf-approximate

Approximate layers - TensorFlow extension

License:MITStargazers:0Issues:0Issues:0

zsim

A fast and scalable x86-64 multicore simulator

License:GPL-2.0Stargazers:0Issues:0Issues:0